Article

Organo-arsenic Molecular Layers on Silicon for High-Density Doping

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

Abstract

This article describes for the first time the controlled monolayer doping (MLD) of bulk and nanostructured crystalline silicon with As at concentrations approaching 2x10^20 atoms cm-3. Characterization of doped structures after the MLD process confirmed that they remained defect and damage free, with no indication of increased roughness or a change in morphology. Electrical characterization of the doped substrates and nanowire test structures allowed determination of resistivity, sheet resistance and active doping levels. Extremely high As-doped Si substrates and nanowire devices could be obtained and controlled using specific capping and annealing steps. Significantly, the As-doped nanowires exhibited resistances several orders of magnitude lower than the pre-doped materials.

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... As has a much smaller diffusion coefficient when compared to P, making it the ideal dopant for heavy and shallow n-type doping of silicon [39]. O'Connell et al recently published the first application of As-MLD on planar Si and a number of Si nanowire devices [40]. Figure 8 shows the general scheme applied in their study. ...
... Nevertheless, Long et al recently published a study on As-MLD of Ge [45]. The molecule previously utilised by O'Connell and co-workers [40] was used but was dissolved in IPA as opposed to mesitylene. As the hydrogermylation temperature of 200°C greatly exceeds the decomposition temperature of the TAA molecule, UV-initiated hydrogermylation was used. ...
... Junction depths ranged from 75 nm at 950°C to 125 nm at 1050°C. Adapted with permission from:[40] Copyright 2015, American Chemical Society. ...
Article
Full-text available
Advanced doping technologies are key for the continued scaling of semiconductor devices and the maintenance of device performance beyond the 14 nm technology node. Due to limitations of conventional ion-beam implantation with thin body and 3D device geometries, techniques which allow precise control over dopant diffusion and concentration, in addition to excellent conformality on 3D device surfaces, are required. Spin-on doping has shown promise as a conventional technique for doping new materials, particularly through application with other dopant methods, but may not be suitable for conformal doping of nanostructures. Additionally, residues remain after most spin-on-doping processes which are often difficult to remove. In situ doping of nanostructures is especially common for bottom-up grown nanostructures but problems associated with concentration gradients and morphology changes are commonly experienced. Monolayer doping has been shown to satisfy the requirements for extended defect-free, conformal and controllable doping on many materials ranging from traditional silicon and germanium devices to emerging replacement materials such as III-V compounds but challenges still remain, especially with regard to metrology and surface chemistry at such small feature sizes. This article summarises and critically assesses developments over the last number of years regarding the application of gas and solution phase techniques to dope silicon-, germanium- and III-V-based materials and nanostructures to obtain shallow diffusion depths coupled with high carrier concentrations and abrupt junctions.
... Differently from another literature process, where guest molecules-working as charge transfer layer-are put in contact with the host material [3][4][5][6][7], the method involves the deposition of dopant-containing molecules from the liquid phase, called precursors, and the subsequent drive-in of the dopant atoms by thermal annealing. MD can provide nand p-type doping and is capable of a good range of carrier doses and diffusion depths, obtained by controlling the precursor chemical characteristics, the deposition conditions, and the thermal budget [1,2,[8][9][10][11][12][13]. In the work of Javey and their group [1], during the MD process, the Si substrate is immersed in a solution containing the molecular precursor, kept at its boiling point, for 150 min, during which a self-assembled monolayer of molecules is formed on the sample surface. ...
... It is suggested that the molecule, during the deposition, forms a covalent bond with the Si surface, involving its C=C site [1]. Since then, MD has been successfully used for the deposition of molecular precursors and diffusion in both planar and nano-structured surfaces with no crystal lattice damage [13][14][15][16][17]. Many of the results present in the literature refer to a 20% dilution condition. ...
Article
Full-text available
Molecular Doping (MD) involves the deposition of molecules, containing the dopant atoms and dissolved in liquid solutions, over the surface of a semiconductor before the drive-in step. The control on the characteristics of the final doped samples resides on the in-depth study of the molecule behaviour once deposited. It is already known that the molecules form a self-assembled monolayer over the surface of the sample, but little is known about the role and behaviour of possible multiple layers that could be deposited on it after extended deposition times. In this work, we investigate the molecular surface coverage over time of diethyl-propyl phosphonate on silicon, by employing high-resolution morphological and electrical characterization, and examine the effects of the post-deposition surface treatments on it. We present these data together with density functional theory simulations of the molecules–substrate system and electrical measurements of the doped samples. The results allow us to recognise a difference in the bonding types involved in the formation of the molecular layers and how these influence the final doping profile of the samples. This will improve the control on the electrical properties of MD-based devices, allowing for a finer tuning of their performance.
... This makes the system DPP/Si a unique combination to be exploited in the semiconductor field as an alternative to the standard doping methods. The technique taking advantage of these properties is known in literature as Molecular Doping or Monolayer Doping (MD) and has found a growing interest in the semiconductor community for its easiness, low cost and efficacy [18][19][20][21][22][23][24][25][26][27][28][29][30][31][32] . Since it is ...
... This makes the system DPP/Si a unique combination to be exploited in the semiconductor field as an alternative to the standard doping methods. The technique taking advantage of these properties is known in literature as Molecular Doping or Monolayer Doping (MD) and has found a growing interest in the semiconductor community for its easiness, low cost and efficacy [18][19][20][21][22][23][24][25][26][27][28][29][30][31][32] based on solution processing, the method is intrinsically suitable for conformal doping, i.e. a process where the dopant atoms follow the surface of 3-dimensional nanostructures or hollow structures. ...
Article
Full-text available
Silicon nanowires inspire since decades a great interest for their fundamental scientific importance and their potential in new technologies. When decorated with organic molecules they form hybrid composites with applications in various fields, from sensors to life science. Specifically the diethyl 1-propylphosphonate/Si combination is considered as a promising alternative to the conventional semiconductor n-type doping methods, thanks to its solution-based processing, which is damage-free and intrinsically conformal. For these characteristics, it is a valid doping process for patterned materials and nanostructures such as the nanowires. Our joined experimental and theoretical study provides insights at atomistic level on the molecular activation, grafting and self-assembling mechanisms during the deposition process. For the first time to the best of our knowledge, by using scanning transmission electron microscopy the direct visualization of the single molecules arranged over the Si nanowire surface is reported. The results demonstrate that the molecules undergo to a sequential decomposition and self-assembling mechanism, finally forming a chemical bond with the silicon atoms. The ability to prepare well-defined molecule decorated Si nanowires opens up new opportunities for fundamental studies and nanodevice applications in diverse fields like physics, chemistry, engineering and life sciences.
... The functionalization of the semiconductor surface has proven to be an advantage of MLD in achieving uniform doping in 3D architectures, such as nanowires, which is more challenging to achieve using conventional doping techniques such as ion implantation. 3 The preferred method for surface functionalization on Si for MLD is hydrosilylation, where a precursor containing a dopant atom and an alkene functional group reacts with a hydrideterminated Si surface. 12,13 However, hydrosilylation of Si surfaces is air and moisture sensitive, requiring rigorous purification of solvents and precursors. ...
... In comparison, the optimal RTA temperature reported for conventional MLD using hydrosilylation was 1050°C. 3 Higher annealing temperatures of 1100°C displayed a slight decrease in active carrier concentration to 3.09 × 10 19 atoms/cm 3 , with an increase in junction depth to 100 nm taken at 1.36 × 10 18 atoms/cm 3 . Substrates annealed at 950°C displayed a decrease in junction depth to 22 nm at 1.72 × 10 18 atoms/cm 3 . ...
Article
Monolayer Doping (MLD) involves the functionalization of semiconductor surfaces followed by an annealing step to diffuse the dopant into the substrate. We report an alternative doping method, oxide-MLD, where ultra-thin SiO2 overlayers are functionalized with phosphonic acids for doping Si. Similar peak carrier concentrations were achieved when compared to hydrosilylated surfaces (~2 × 1020 atoms/cm3). Oxide-MLD offers several advantages over conventional MLD such as ease of sample processing, superior ambient stability and minimal carbon contamination. The incorporation of an oxide layer minimizes carbon contamination by facilitating attachment of carbon-free precursors or by impeding carbon diffusion. The oxide-MLD strategy allows selection of many inexpensive precursors and therefore allows application to both p- and n-doping. The phosphonic acid functionalized SiO2 surfaces were investigated using XPS and ATR-FTIR spectroscopy while doping was assessed using electrochemical capacitance voltage and Hall measurements.
... Most MLD processes use boron-or phosphorus-containing compounds as the dopant adsorbate to achieve p-and n-type doping, respectively. Recently, the doping of arsenic was reported using triallylarsine (TAA) as the dopant adsorbate ( Fig. 4) [22]. Arsenic is an n-type dopant that has a lower diffusivity and higher solubility than phosphorus. ...
... Schematic representation of arsenic doping by MLD using triallylarsine[22]. © 2015 American Chemical Society. ...
Article
The continuous miniaturization in the semiconductor industry brings electronic devices with higher performance at lower cost. The doping of semiconductor materials plays a crucial role in tuning the electrical properties of the materials. Ion implantation is currently widely used. Yet, this technique faces challenges meeting the requirements for smaller devices. Monolayer doping (MLD) has been proposed as one of the alternative techniques for doping semiconductors. It utilizes dopant-containing organic molecules and grafts them onto semiconductor surfaces. The dopant atoms are subsequently driven into the substrate by high temperature annealing. MLD has shown the capability for ultra-shallow doping and the doping of 3-D structures without causing crystal damage. These features make this technique a promising candidate to dope future electronic devices. In this review the processes for monolayer formation and dopant incorporation by annealing will be discussed, as well as the applications of MLD in device fabrication.
... 44 The low amount of oxide detected did not significantly impact on the incorporation of surface dopant. 45 Figure S2(b) shows an XPS survey spectrum indicating the presence of Si, C, O and As which is indicative of an AA functionalized surface. Figure 4(b) displays a C 1s core level spectrum of the AA functionalized surface. ...
... We have recently reported MLD on Si using a traditional carbon-based As-containing precursor in conjunction with a thermally-initiated hydrosilylation reaction and achieved excellent in-diffusion coupled with high chemical concentrations approaching 2 × 10 20 atoms/cm 3 . 45 The lower carrier concentration for arsenic observed in this work is unlikely due to diffusion suppression. Nitrogen has been reported to supress diffusion of As in Ge 51 and also suppression of B in Si 52 but has not been reported to suppress the diffusion of As in Si. ...
Article
In this article, the functionalization of planar silicon with arsenic- and phosphorus-based azides was investigated. Covalently bonded and well-ordered alkyne-terminated monolayers were prepared from a range of commercially available dialkyne precursors using a well-known thermal hydrosilylation mechanism to form an acetylene-terminated monolayer. The terminal acetylene moieties were further functionalized through the application of copper-catalysed azide-alkyne cycloaddition (CuAAC) reactions between dopant-containing azides and the terminal acetylene groups. The introduction of dopant molecules via this method does not require harsh conditions typically employed in traditional monolayer doping approaches, enabling greater surface coverage with improved resistance towards re-oxidation. X-ray photoelectron spectroscopy studies showed successful dialkyne incorporation with minimal Si surface oxidation and also successful azide-alkyne cycloaddition, through monitoring of the C 1s and N 1s core-level spectra. Electrochemical capacitance-voltage measurements showed effective diffusion of the activated dopant atoms into the Si substrates.
... In their approach, the TAA is chemisorbed onto germanium after a 10-min treatment with a 10% HF solution. To avoid molecular thermal degradation at high temperatures, an UV-irradiated hydrogermylation reaction was employed instead of standard thermal adsorption process, as previously tested on Si [94]. Both chemisorption and physisorption occur during the treatment, and a rinsed procedure is found effective for the physisorbed fraction removal. ...
Article
Full-text available
The growing interest in nanoelectronics and photonics, combined with the development of new germanium- based devices, provide the impetus to develop new doping methods suitable to new germanium challenges. The monolayer doping technique is one of the most promising techniques for nanostructure doping, especially for the possibility to perform conformal doping on nanostructured materials, the complete absence of lattice dam- age, the high control of the dopant and the reduction of the stochastic doping effects. In this paper, works that develop the monolayer doping technique on germanium will be described and analyzed, highlighting advantages and disadvantages of different possible approaches to Ge doping and finally outlining the future steps for the implementation of monolayer doping technique on device manufacturing.
... MLD demonstrated that it causes no lattice damage and is capable of doping impurities into dimensional structures due to the conformal nature of the monolayer assembly process that avoids the shadow effects occurring in ion implantation. There are various elements that were doped into semiconductor substrate by MLD to obtain ultra-shallow doping including phosphorus [58,59,[61][62][63][64], boron [58,60,[65][66][67][68][69], nitrogen [70], sulfur [71][72][73], arsenic [74], antimony [75]. ...
Chapter
Full-text available
The article surveys the most recent achievements starting with the boron doping mechanism, mainly focused on doping in semiconductor materials such as Si, Ge, graphene, carbon nanotube, or other 2D materials. Frequently used doping methodologies are discussed, including ion implantation and solid-phase doping, mainly focused on recent developing techniques of monolayer doping. These doped materials’ structural, electronic, and chemical properties are addressed to understand the boron doping effect better. Theoretical and experimental information and data are used to support such atomic-level effects. Therefore, this review can provide valuable suggestions and guidelines for materials’ properties manipulation by boron doping for further research exploration.
... The diversity of organic molecules and the flexibility of self-assembly process make MLD an attractive and controllable doping technique. Dopants including phosphorus [20][21][22][23][24][25][26][27][28][29][30], boron [8,20,[31][32][33][34], nitrogen [35], arsenic [27,36] and antimony [37] have been introduced to silicon by MLD using commercial or synthetic reagents. ...
Article
Full-text available
Ultrashallow doping is required for both classical field-effect transistors in integrated circuits and revolutionary quantum devices in quantum computing. In this review, we give a brief overview on recent research advances in three technologies to form ultrashallow doping, namely molecular monolayer doping, molecular beam epitaxy, and low energy ion implantation. A research perspective will be provided at the end of this review.
... In the last few years, a new doping technique called monolayer doping (MLD) has been raised as one of the most promising methods for semiconductor doping at the nanoscale [1][2][3][4][5][6][7][8][9][10][11][12][13]. ...
Article
Full-text available
The DPP (diethyl 1-propylphosphonate) and ODPA (octadecylphosphonic acid) molecules are studied as precursors for the Monolayer Doping (MLD) of germanium. Their adsorption behaviour is investigated, revealing different physicochemical interactions between the phosphorus-containing molecules and the Ge surfaces. It is discovered that DPP adsorption occurs after the oxidation of Ge surface, while the ODPA undergoes chemisorption on -H terminated surfaces. Quantitative phosphorus analysis demonstrates that in the first case more than one monolayer is formed (from 2 to 4), while in the second a single monolayer is formed. Moreover, the analysis of phosphorus diffusion from the surface layers into the Ge matrix reveals that conventional thermal annealing processes are not suitable for Ge injection due to a higher activation energy of the process in comparison with silicon. On the contrary, pulsed laser melting is effective in forming a doped layer, owing to the precursor's decomposition under UV light.
... Arsenic is also an important donor-type dopant for silicon semiconductor [40]. O'Connell et al. [41] reported that As dopants were introduced into silicon surface by using triallylar- sine (TAA) molecules which contain three unsaturated C=C bond. As shown in Figure 6, the As-carrying molecules were irst anchored on the silicon surface, and As elements were then difused into the silicon surface with the protection of a capping layer by annealing process. ...
... Irrespective of the nanowire or fin shape and dimension, the molecules should bind to each surface. P is the most commonly applied dopant for n-type MLD, [26][27][28][29][30][31][32][33] with only a few have reported of As MLD in Si. 34,35 Much of the MLD literature to date has been based on pla- nar unpatterned substrates. There is now a pressing need to consider how suitable MLD can be for thin-body three-dimen- sional semiconductor devices with high surface-to-volume ratios. ...
Article
The advent of high surface-to-volume ratio devices has necessitated a revised approach to parameter extraction and process evaluation in field-effect transistor technologies. In this work, active doping concentrations are extracted from the electrical analysis of Si nanowire devices with high surface-to-volume ratios. Nanowire resistance and Si resistivity are extracted, by first extracting and subtracting out the contact resistance. Resistivity (ρ) is selected as the benchmark parameter to compare different doping processes with each other. The impacts of nanowire diameter scaling to 10 nm and of nanowire spacing scaling to <20 nm are extracted for monolayer doping and beam-line ion implantation. Despite introducing significant crystal damage, P beam-line ion implantation beats allyldiphenylphosphine (ADP) P monolayer doping with a SiO2 cap in terms of lower Si resistivity and higher dopant activation, with dependencies on the nanowire width greater than on nanowire spacing. Limitations in ADP P monolayer doping with a SiO2 cap are due to the difficulties in dopant incorporation, as it is based on in-diffusion, and P atoms must overcome a potential barrier on the Si surface.
... 10 Amongst several methods such as ion implantation, 11 spin-on doping 12 or singleatom doping, 13 the monolayer doping (MLD) technique is very attractive and has yielded promising results. [14][15][16][17][18] This methodology is based on the deposition of dopants using molecular precursors on H-terminated (silicon) surfaces to form (mono)layers of surface species. The functionalization of technologically relevant surfaces like silicon, germanium and others has been widely studied, [19][20][21] offering versatile properties to resulting materials [22][23][24] and allowing for a better understanding of grafting or doping mechanisms. ...
Article
Full-text available
Monolayer doping (MLD) of silicon substrates at the nanoscale is a powerful method to provide controlled doses of dopants and defect-free materials. However, this approach requires the deposition of a thick SiO2 cap layer to limit dopant evaporation during annealing. Here, we describe the controlled surface doping of thin oxide-passivated silicon wafers through a two-step process involving the grafting of a molecular phosphorus precursor containing a polyhedral oligomeric silsesquioxane scaffold (POSS) with silica-like architecture, and thermal annealing. We show that the POSS scaffold favors the controlled formation of dopant-containing surface species with up to ~8 × 1013 P atoms cm-2, and efficiently avoids phosphorus evaporation during annealing for temperatures up to 800 °C. Silicon doping is demonstrated, in particular, by grafting the POSS phosphorus triester on SiO2/Si wafers with optimized surface preparation (thin SiO2 layer of 0.7 nm) and annealing temperature (1000 °C), which provides phosphorus doses of ~7 × 1012 P atoms cm-2 in the silicon substrates together with a decrease of their sheet resistance. A detailed study of the surface chemistry on SiO2 nanoparticles used as a high surface area model yields the grafting mechanism and the structure of the surface species. We show that the POSS scaffold is conserved upon grafting, that its size controls the final P-surface density and that it behaves as a self-protecting ligand against phosphorus volatilization during the annealing step. We thus demonstrate that the use of tailored-made dopant precursors with self-capping properties is a promising approach to tune medium to low doping doses in technologically relevant semiconductors.
... Furthermore, it has been demonstrated that areal dopant doses could be finely tuned by changing the molecular dimension [8] or introducing non-dopant-containing molecules that react with the surface during the process, reducing the surface density of the dopantcontaining molecules [11]. Moreover MLD allows the achievement of damage-free conformal doping on non-planar nanostructures [12][13][14][15][16]. To date, the formation of monolayers containing different dopants on several semiconducting materials has been demonstrated [17], opening the route to manufacturing application of this doping technique. ...
Article
Full-text available
Achieving the required control of dopant distribution and selectivity for nanostructured semiconducting building block is a key issue for a large variety of applications. A promising strategy is monolayer doping (MLD), which consists in the creation of a well-ordered monolayer of dopant-containing molecules bonded to the surface of the substrate. In this work, we synthesize a P δ-layer embedded in a SiO2 matrix by MLD. Using a multi-technique approach based on time of flight secondary ion mass spectrometry (ToF-SIMS) and Rutherford backscattering spectrometry (RBS) analyses, we characterize the tuning of P dose as a function of the processing time and temperature. We found the proper conditions for a full grafting of the molecules, reaching a maximal dose of 8.3 × 10(14) atoms/cm(2). Moreover, using 1D rate equation model, we model P diffusion in SiO2 after annealing and we extract a P diffusivity in SiO2 of 1.5 × 10(17) cm(2) s(-1).
Article
Full-text available
Fabrication of atomic dopant wires at large scale is challenging. We explored the feasibility to fabricate atomic dopant wires by nano-patterning self-assembled dopant carrying molecular monolayers via a resist-free lithographic approach. The resist-free lithography is to use electron beam exposure to decompose hydrocarbon contaminants in vacuum chamber into amorphous carbon that serves as an etching mask for nanopatterning the phosphorus-bearing monolayers. Dopant wires were fabricated in silicon by patterning diethyl vinylphosphonate monolayers into lines with a width ranging from 1 μm down to 8 nm. The dopants were subsequently driven into silicon to form dopant wires by rapid thermal annealing. Electrical measurements show a linear correlation between wire width and conductance, indicating the success of the monolayer patterning process at nanoscale. The dopant wires can be potentially scaled down to atomic scale if the dopant thermal diffusion can be mitigated.
Article
Reported here is a new chemical route for the wet chemical functionalization of germanium (Ge), whereby arsanilic acid is covalently bound to a chlorine (Cl) terminated surface. This new route is used to deliver high concentrations of arsenic (As) dopants to Ge, via monolayer doping (MLD). Doping, or the introduction of Group III or Group V impurity atoms into the crystal lattice of Group IV semiconductors, is essential to allow control over the electronic properties of the material to enable transistor devices to be switched on and off. MLD is a diffusion-based method for the introduction of these impurity atoms via surface bound molecules which offers a non destructive alternative to ion implantation, the current industry doping standard, making it suitable for sub-10 nm structures. Ge, given its higher carrier mobilities, is a leading candidate to replace Si as the channel material in future devices. Combining the new chemical route with the existing MLD process yields active carrier concentrations of dopants ( >2  1019 atoms/cm3), that rival those of ion implantation. It is shown that the dose of dopant delivered to Ge is also controllable by changing the size of the precursor molecule. X-ray photoelectron spectroscopy (XPS) data and density functional theory (DFT) calculations support the formation of a covalent bond between the arsanilic acid and the Cl terminated Ge surface. Atomic force microscopy (AFM) indicates that the integrity of the surface is maintained throughout the chemical procedure and electrochemical capacitance voltage (ECV) data shows carrier concentrations of 2  1019 atoms/cm3 corroborated by sheet resistance measurements.
Article
Full-text available
An effective doping technology for precise control of P atom injection and activation into a semiconductor substrate is presented. Polystyrene polymers with narrow molecular weight distribution and end-terminated with a P containing moiety are used to build up a phosphorus δ-layer to be employed as dopant source. P-atoms are efficiently injected into the Si substrate by high temperature (900-1250°C) thermal treatments. Temperature dependent (100-300 K) resistivity and Hall measurements in the Van der Pauw configuration, demonstrate high activation rates (η > 80%) of injected P atoms. This bottom-up approach holds promises for the development of a mild technology for efficient doping of semiconductors.
Article
Full-text available
Doping methodologies using monolayers offer controlled, ex situ doping of nanowires (NWs), and 3D device architectures using molecular monolayers as dopant sources with uniform, self‐limiting characteristics. Comparing doping levels and uniformity for boron‐containing monolayers using different methodologies demonstrates the effects of oxide capping on doping performances following rapid thermal anneal (RTA). Strikingly, for noncovalent monolayers of phenylboronic acid (PBA), highest doping levels are obtained with minimal thermal budget without applying oxide capping. Monolayer damage and entrapment of molecular fragments in the oxide capping layer account for the lower performance caused by thermal damage to the PBA monolayer, which results in transformation of the monolayer source to a thin solid source layer. The impact of the oxide capping procedure is demonstrated by a series of experiments. Details of monolayer fragmentation processes and its impact on doping uniformity at the nanoscale are addressed for two types of surface chemistries by applying Kelvin probe force microscopy (KPFM). These results point at the importance of molecular decomposition processes for monolayer‐based doping methodologies, both during preanneal capping step and during rapid thermal processing step. These are important guidelines to be considered for future developments of appropriate surface chemistry used in monolayer doping applications. Ex situ boron monolayer doping is studied on silicon substrates and nanowire configurations using different methodologies such as monolayer doping, monolayer contact doping, and remote monolayer doping. The role of oxide capping layer, and its impact on doping performance and thermal budget for noncovalent monolayers are demonstrated.
Article
Full-text available
Developing a scalable method to fabricate atomic wires is an important step for building solid-state semiconductor quantum computers. In this work, we developed a novel selective doping strategy by patterning the self-assembled monolayer to a few nanometers using standard nanofabrication processes, which significantly improves the lateral doping resolution of monolayer doping (MLD) from microscale to nanoscale. Using this method, we further explore the possibility to fabricate phosphorus atomic wires in silicon by patterning self-assembled diethyl vinylphosphonate monolayers into lines with a width ranging from 500 nm to 10 nm. The phosphorus dopants are driven into silicon by rapid thermal annealing, forming dopant wires. Four-probe and Hall effect measurements are employed to characterize the dopant wires. The results show that the conductance is linear with the width for the wires, showing the success of the monolayer patterning process to nanoscale. To fabricate atomic wires made of one or a few lines of phosphorus atoms, we need to shorten the thermal diffusion length and increase the dopant incorporation rate at the same time. Pulsed laser annealing may be a promising solution. The present work provides a promising pathway for mass fabrication of atomic wires in silicon that may find important applications in quantum computing.
Article
Polystyrene samples with different molar mass and narrow polydispersity index were prepared by nitroxide mediated polymerization using N-tert-Butyl-N-[1-diethylphosphono(2,2-dimethylpropyl)] nitroxide (SG1) as radical controller and phosphorous containing moiety, and their doping performances were studied. In contrast to what observed for conventional “grafting to” reactions, the thickness of the grafted layer is observed to rapidly increases with time reaching a thickness plateau value, that is significantly lower than two times the radius of gyration of the corresponding polymer chain. In addition, the plateau thickness initially increases as the molar mass of the grafting polymer increases and then reaches a somewhat limiting thickness. Interestingly, the phosphorous areal dose on the silicon surface is perfectly equal to the density of the grafted chains estimated from the thickness of the brush layer. The overall data indicate that during the high temperature thermal treatment two parallel and competing reactions are operating namely the “grafting to” reaction and a degradation of the SG1 moiety that leads to fragments, as confirmed by direct exposure probe analysis. This combination results in a fine tuning of the phosphorous dose at the substrate surface with a substantial outperforming of the dopant dose control, achieved using conventional self-assembled monolayers.
Article
Inorganic materials such as semiconductors, oxides, and metals are ubiquitous in a wide range of device technologies owing to the outstanding robustness and mature processing technologies available for such materials. However, while the important contribution of inorganic materials to the advancement of device technologies has been well established for decades, organic-inorganic hybrid device systems, which merge molecular functionalities with inorganic platforms represent a newer domain that is rapidly evolving at an increasing pace. Such devices benefit from the great versatility and flexibility of the organic building blocks merged with the robustness of the inorganic platforms. Given the overwhelming wealth of literature covering various approaches for modifying and using inorganic devices, this feature article selectively highlights some of the advances made in the context of diversification of devices by surface chemistry. Particular attention is given to oxide-semiconductor systems and metallic surfaces modified with organic monolayers. The inorganic device components, such as semiconductors, metals, or oxides, are modified by organic monolayers, which may serve as either active, static, or sacrificial components. We portray research directions within the broader field of organic-inorganic hybrid device systems that can be viewed as specific examples of the potential of such hybrid device systems given their comprehensive capabilities of design and diversification. Monolayer doping techniques where sacrificial organic monolayers are introduced to semiconducting elements are reviewed as a specific case, together with associated requirements for nanosystems, devices, and sensors for controlling doping levels and doping profiles at the nanometric scale. Another series of examples of the flexibility provided by the marriage of organic functional monolayers and inorganic device components is represented by a new class of biosensors, where the organic layer functionality is exploited in a functioning device for sensing. Considerations for relying on oxide-terminated semiconductors rather than the pristine semiconductor material as a platform both for processing and sensing are discussed. Finally, we cover aspects related to the use of various theoretical and computational approaches to model organic-inorganic systems. The main objectives of the topics covered here are (i) to present the advances made in each respective domain, and (ii) provide a comprehensive view of the potential uses of organic monolayers and self-assembly processes in the rapidly evolving field of molecular-inorganic hybrid device platforms and processing methodologies. The combination of directions highlighted here provides a perspective, on a future, not yet fully realized, integrated approach where organic monolayers are combined with inorganic platforms in order to obtain versatile, robust, and flexible systems with enhanced capabilities.
Article
The molecular doping (MD) process consists of depositing a molecular precursor on a silicon substrate, covering the precursor with a cap layer and annealing the sample to decompose the precursor and diffuse dopant atoms within Si. In the literature, preliminary results have shown that dopant diffusion inside a substrate correlates with the presence or absence of the cap layer. The purpose of this work is to study how the cap coating changes the doping process and efficiency. The authors investigate and compare the electrical properties of silicon samples after MD doping with three different cap layers and without a cap layer. The authors examined a 500‐nm‐thick layer of SiO2 deposited by spin‐on‐glass (SOG), a 500‐nm‐thick layer of SiO2 deposited in a chemical vapor deposition (CVD) chamber at room temperature and a 100‐nm‐thick layer of oxidized silicon placed over and in contact with the samples to be doped. Spreading resistance profiling (SRP) measurements are then performed on these samples to monitor important doping features, such as carrier dose, carrier concentration, sheet resistance and junction depth, obtained with different capping conditions.
Article
An effective bottom-up technology for precisely controlling the amount of dopant atoms tethered on silicon substrates is presented. Polystyrene and poly(methyl methacrylate) polymers with narrow molecular weight distribution and end-terminated with a P containing moiety were synthesized with different molar mass. The polymers were spin coated and subsequently end-grafted onto non-deglazed silicon substrates. P atoms were bonded to the surface during the grafting reaction and their surface density was set by the polymer molar mass, according to the self-limiting nature of the "grafting to" reaction. Polymeric material was removed by O2 plasma hashing without affecting the tethered P-containing moieties on the surface. Repeated cycles of polymer grafting followed by plasma hashing led to a cumulative increase, at constant steps, in the dose of P atoms grafted to the silicon surface. P injection in the silicon substrate was promoted and precisely controlled by high temperature thermal treatments. Sheet resistance measurements demonstrated effective doping of Si substrate.
Article
Functionalization of Ge surfaces with the aim of incorporating specific dopant atoms to form high quality junctions is of particular importance for the future generation of solid state devices. We report the shallow doping of Ge wafers with a monolayer doping strategy that is based on the controlled grafting of Sb-precursors and the subsequent diffusion of Sb into the wafer upon annealing. We highlight the key role of citric acid to passivate the surface prior to its reaction with the Sb-precursors and the benefit of a protective SiO2 overlayer that enables the efficient incorporation of Sb dopants with a concentration higher than 1020 cm-3. Microscopic four-point probe measurements and photoconductivity experiments show the full electrical activation of the Sb dopants, giving rise to the formation of a n++ Sb-doped layer and an enhanced local field effect passivation at the surface of the Ge wafer.
Article
Full-text available
The functionalization and subsequent monolayer doping of InGaAs substrates using a tin-containing molecule and a compound containing both silicon and sulfur was investigated. Epitaxial InGaAs layers were grown on semi-insulating InP wafers and functionalized with both sulfur and silicon using mercaptopropyltriethoxysilane and with tin using allyltributylstannane. The functionalized surfaces were characterized using X-ray photoelectron spectroscopy (XPS). The surfaces were capped and subjected to rapid thermal annealing to cause in-diffusion of dopant atoms. Dopant diffusion was monitored using secondary ion mass spectrometry. Raman scattering was utilized to nondestructively determine the presence of dopant atoms, prior to destructive analysis, by comparison to a blank undoped sample. Additionally, due to the As-dominant surface chemistry, the resistance of the functionalized surfaces to oxidation in ambient conditions over periods of 24 h and 1 week was elucidated using XPS by monitoring the As 3d core level for the presence of oxide components.
Article
Full-text available
Silicon is an attractive semiconductor material for wide-ranging applications, from electronics and sensing to solar cells. Functionalization of H-terminated silicon surfaces with molecular monolayers can be used to tune the properties of the material toward a desired application. Several applications require the removal of the, often insulating, silicon oxide between the silicon surface and a monolayer, thus precluding the more conventional silane-based chemistry. Here, the applications of monolayer-functionalized silicon surfaces are surveyed starting from H-terminated silicon. The oxide-free routes available for Si–C, Si–N, Si–O–C, and Si–S bond formation are described, of which the most commonly used techniques include hydrosilylation and a chlorination/alkylation route onto H-terminated silicon. Applications are subdivided into the areas of surface passivation, electronics, doping, optics, biomedical devices, and sensors. Overall, these methods provide great prospects for the development of stabilized silicon micro-/nanosystems with engineered functionalities.
Article
We report here the controlled preparation of SiO2 supported Sb-(mono)layers and their thorough characterization by in situ IR, solid-state NMR and elemental analyses. This study allows for the molecular understanding of the surface Sb species derived from the grafting of ethoxy and polyhedral oligomeric silsesquioxane antimony derivatives as mono- or bi-podal Sb(iii) surface species depending on the number of surface SiOH groups. This result is different from what was observed with the phosphorus analogue (POSS-P) that yielded P(v) species. A monolayer coverage of Sb species onto silica was also obtained using both POSS-Sb and the [Sb(OEt)3]2 derivative with surface densities ranging from ∼0.3 Sb nm(-2) to 1.8 Sb nm(-2), respectively. It is noteworthy that under optimized conditions, a layer of antimony species or suboxides on silica was produced using POSS-Sb without significant Sb loss, highlighting the protective properties of the POSS cage. These results open new perspectives for the controlled and non-destructive Sb-doping (Molecular Layer Doping) of semiconductors dedicated to nano-device applications.
Article
Full-text available
Doping via self-assembled macromolecules might offer a solution for developing single atom electronics by precisely placing individual dopants at arbitrary location to meet the requirement for circuit design. Here we synthesize dendrimer-like polyglycerol macromolecules with each carrying one phosphorus atom in the core. The macromolecules are immobilized by the coupling reagent onto silicon surfaces that are pre-modified with a monolayer of undecylenic acid. Nuclear magnetic resonance (NMR) and X-ray photoelectron spectroscopy (XPS) are employed to characterize the synthesized macromolecules and the modified silicon surfaces, respectively. After rapid thermal annealing, the phosphorus atoms carried by the macromolecules diffuse into the silicon substrate, forming dopants at a concentration of 1017 cm−3. Low-temperature Hall effect measurements reveal that the ionization process is rather complicated. Unlike the widely reported simple ionization of phosphorus dopants, nitrogen and carbon are also involved in the electronic activities in the monolayer doped silicon.
Article
The control of the electronic properties of semiconductors is primarily achieved through doping. While scaling down the device dimensions to the molecular regime presents an increasing number of difficulties, doping control at the nanoscale is still regarded as one of the major challenges of the electronic industry. Within this context, new techniques such as Monolayer Doping (MLD) represent a substantial improvement towards surface doping with atomic and specific doping dose control at the nanoscale. Our previous work has explained in detail the atomistic mechanism behind MLD by means of density-functional theory calculations (Chem. Mater. 2016, 28, 1975). Here, we address the key questions that will ultimately allow to optimize the scalability of the MLD process. First, we show that dopant coverage control cannot be achieved by simultaneous reactions of several group-V elements, but stepwise reactions make it possible. Second, using ab initio molecular dynamics, we investigate the thermal decomposition of the molecular precursors, together with the stability of the corresponding binary and ternary dopant oxides, prior to the dopant diffusion into the semiconductor surface. Finally, the effect of the coverage and type of dopant on the electronic properties of the semiconductor is also analyzed. Furthermore, the atomistic characterization of the MLD process raises unexpected questions regarding possible crystal damage effects by dopant exchange with the semiconductor ions, or the final distribution of the doping impurities within the crystal structure. By combining all our results, optimization recipes to create ultra-shallow doped junctions at the nanoscale are finally proposed.
Article
The continuous miniaturization in the semiconductor industry brings electronic devices with higher performance at lower cost. The doping of semiconductor materials plays a crucial role in tuning the electrical properties of the materials. Ion implantation is currently widely used. Yet, this technique faces challenges meeting the requirements for smaller devices. Monolayer doping (MLD) has been proposed as one of the alternative techniques for doping semiconductors. It utilizes dopant-containing organic molecules and grafts them onto semiconductor surfaces. The dopant atoms are subsequently driven into the substrate by high temperature annealing. MLD has shown the capability for ultra-shallow doping and the doping of 3-D structures without causing crystal damage. These features make this technique a promising candidate to dope future electronic devices. In this review the processes for monolayer formation and dopant incorporation by annealing will be discussed, as well as the applications of MLD in device fabrication.
Article
The reaction pathway for shallow arsenic doping of silicon by methylarsenic acid molecules directly grafted on oxide-free, H-terminated Si(111) surfaces is unraveled combining Infrared absorption spectroscopy, X-ray Photoelectron Spectroscopy, Low Energy Ion Scattering and ab initio Molecular Dynamics simulations. The overall driving force is identified as a thermodynamic instability of As +5 in contact with silicon, which initiates a self-decomposition of chemisorbed methylarsenic molecules at ∼600 K. As the temperature is increased, the As-C bond breaks -- the weakest link of the adsorbed molecule -- with release of the organic ligand and a rearrangement from a monodentate to a bidentate bonding configuration. In this process, oxygen atoms evolve by partial desorption as H 2 O and partial incorporation into the surface Si atom backbonds. At ∼1050 K, diffusion of As into the sub-surface region of silicon is observed. There is no evidence for As desorption and no remaining C contamination.
Article
The reaction pathway for shallow arsenic doping of Silicon by methylarsenic acid molecules directly grafted on oxide-free, H-terminated Si(111) surfaces is unraveled combining Infrared Absorption Spectroscopy, X-ray Photoelectron Spectroscopy, Low Energy Ion Scattering and \textit{ab initio} Molecular Dynamics simulations. The overall driving force is identified as a thermodynamic instability of As5+ in contact with Silicon, which initiates a self-decomposition of chemisorbed methylarsenic molecules at ~800 K. As the temperature is increased, the As-C bond breaks -the weakest link of the adsorbed molecule- with release of the organic ligand and a rearrangement from a monodentate to a bidentate bonding configuration. In this process, oxygen atoms evolve by partial desorption as H2O and partial incorporation into the surface Si atom backbonds. At ~1050 K, diffusion of As into the sub-surface region of Silicon is observed. There is no evidence for As desorption and no remaining C contamination.
Article
Full-text available
As transistor dimensions continue to diminish, techniques for fabrication need to be adapted. In particular, crystal recovery post ion implantation is required due to destructive ion bombardment inducing crystal damage including amorphization. Here, we report a study on the post-implant recrystallization in germanium (Ge) nanowires (NWs) following gallium (Ga) ion doping. In this work a variation of NW diameters and orientations were irradiated and annealed in situ to investigate the mechanism of recrystallization. An added complication of misorientation of crystal grains increases the complexity of crystal recovery for suspended NWs. We show that when the misorientation is prevented, by leaving a crystal link between two seeds and providing a rigid support, recrystallization occurs primarily via solid phase epitaxial growth (SPEG). Finally, we demonstrate that top-down fabricated Ge NWs on insulator can be recovered with no extended defects. This work highlights both experimentally and through molecular dynamic simulations the importance of engineering crystal recovery in Ge NWs which may have potential for next-generation complementary metal-oxide semiconductor (CMOS) devices.
Article
Full-text available
To maintain semiconductor device scaling, in recent years industry has been forced to move from planar to non-planar device architectures. This alone has created the need to develop a radically new, non-destructive method for doping. Doping alters the electrical properties of a semiconductor, related to the access resistance. Low access resistance is necessary for high performance technology and reduced power consumption. In this work the authors reduced access resistance in top–down patterned Ge nanowires and Ge substrates by a non-destructive dopant in-diffusion process. Furthermore, an innovative electrical characterisation methodology is developed for nanowire and fin-based test structures to extract important parameters that are related to access resistance such as nanowire resistivity, sheet resistance, and active doping levels. Phosphine or arsine was flowed in a Metalorganic Vapour Phase Epitaxy reactor over heated Ge samples in the range of 650–700 °C. Dopants were incorporated and activated in this single step. No Ge growth accompanied this process. Active doping levels were determined by electrochemical capacitance–voltage free carrier profiling to be in the range of 1019 cm−3. The nanowires were patterned in an array of widths from 20–1000 nm. Cross-sectional Transmission Electron Microscopy of the doped nanowires showed minimal crystal damage. Electrical characterisation of the Ge nanowires was performed to contrast doping activation in thin-body structures with that in bulk substrates. Despite the high As dose incorporation on unpatterned samples, the nanowire analysis determined that the P-based process was the better choice for scaled features.
Article
Full-text available
General trends in integrated circuit technology toward smaller device dimensions, lower thermal budgets, and simplified processing steps present severe physical and engineering challenges to ion implantation. These challenges, together with the need for physically based models at exceedingly small dimensions, are leading to a new level of understanding of fundamental defect science in Si. In this article, we review the current status and future trends in ion implantation of Si at low and high energies with particular emphasis on areas where recent advances have been made and where further understanding is needed. Particularly interesting are the emerging approaches to defect and dopant distribution modeling, transient enhanced diffusion, high energy implantation and defect accumulation, and metal impurity gettering. Developments in the use of ion beams for analysis indicate much progress has been made in one-dimensional analysis, but that severe challenges for two-dimensional characterization remain. The breadth of ion beams in the semiconductor industry is illustrated by the successful use of focused beams for machining and repair, and the development of ion-based lithographic systems. This suite of ion beam processing, modeling, and analysis techniques will be explored both from the perspective of the emerging science issues and from the technological challenges.
Article
Full-text available
Free-standing micro/nanoelements of single-crystal silicon with integrated doped regions for contacts provide a type of material that can be printed onto low-temperature device substrates, such as plastic, for high-performance mechanically flexible thin-film transistors (TFTs). We present simple approaches for fabricating collections of these elements, which we refer to as microstructured silicon (μs-Si), and for using spin-on dopants to introduce doped regions in them. Electrical and mechanical measurements of TFTs formed on plastic substrates with this doped μs-Si indicate excellent performance. These and other characteristics make the material potentially useful for emerging large area, flexible ‘macroelectronic’ devices.
Article
Full-text available
Calculations of the electronic states of donor and acceptor impurities in nanowires show that the ionization energy of the impurities is strongly enhanced with respect to the bulk, above all when the wires are embedded in a material with a low dielectric constant. In free-standing nanowires with diameter below 10 nm, the ionization of the impurities at 300 K is strongly reduced and heavy doping is necessary to obtain conductive systems. These results imply that the critical density for metal-nonmetal transitions is not the same as in the bulk. Experiments are proposed to test the predictions.
Article
Full-text available
Tri(allyl)- and tri(methylallyl)arsine complexes of palladium(II) and platinum(II) with the formulae [MX2L2] (M = Pd, Pt and X = Cl, Br, I): [Pd2Cl2(mu-Cl)2L2], [PdCl(S2CNEt2)L] and [Pd2Cl2(mu-dmpz)2L2] [L = As(CH2CH=CH2)3 (L0), As(CH2CMe=CH2)3 (L00), dmpz = 3,5-dimethylpyrazolate] have been prepared. All the complexes have been characterized by elemental analyses and by IR and NMR (1H, 13C, 195Pt) spectroscopy. The stereochemistry of the complexes has been deduced from the spectroscopic data. The molecular structures of complexes [MX2{As(CH2CH=CH2)3}2] (M = Pd, X = Cl or Br), [MX2{As(CH2CMe=CH2)3}2] (M = Pd, X = Cl or Br; M = Pt, X = Cl) and [Pd2Cl2(mu-Cl)2{As(CH2CMe=CH2)3}2] have been established by single crystal X-ray diffraction analyses. The mononuclear complexes exclusively adopt the trans configuration with the exception of [PdCl2L002], which could be isolated as cis and trans isomers. In the binuclear derivative the arsine ligands are attached to an envelope-shaped Pd–(mu-Cl)2–Pd rectangle with a trans (anti) orientation towards each other. The mononuclear complexes are slightly photoreactive upon irradiation in their long-wavelength absorption band.
Article
Full-text available
We describe a simple graphical method for measuring film thickness by XPS, which we call a Thickogram. This method can be used even when the film and substrate peaks have very different kinetic energies and incorporates the effects of elastic scattering within the recommended range of take-off angles.The Thickogram may also be useful when measuring film thickness by AES, though this is less commonly required than in XPS. Copyright © 2000 John Wiley & Sons, Ltd.
Article
Full-text available
One of the challenges for the nanoscale device fabrication of III-V semiconductors is controllable postdeposition doping techniques to create ultrashallow junctions. Here, we demonstrate nanoscale, sulfur doping of InAs planar substrates with high dopant areal dose and uniformity by using a self-limiting monolayer doping approach. From transmission electron microscopy and secondary ion mass spectrometry, a dopant profile abruptness of similar to 3.5 nm/decade is observed without significant defect density. The n(+)/p(+) junctions fabricated by using this doping scheme exhibit negative differential resistance characteristics, further demonstrating the utility of this approach for device fabrication with high electrically active sulfur concentrations of similar to 8x10(18) cm(-3).
Article
Full-text available
One of the major challenges towards scaling electronic devices to the nanometre-size regime is attaining controlled doping of semiconductor materials with atomic accuracy, as at such small scales, the various existing technologies suffer from a number of setbacks. Here, we present a novel strategy for controlled, nanoscale doping of semiconductor materials by taking advantage of the crystalline nature of silicon and its rich, self-limiting surface reaction properties. Our method relies on the formation of a highly uniform and covalently bonded monolayer of dopant-containing molecules, which enables deterministic positioning of dopant atoms on the Si surfaces. In a subsequent annealing step, the dopant atoms are diffused into the Si lattice to attain the desired doping profile. We show the versatility of our approach through controlled p- and n-doping of a wide range of semiconductor materials, including ultrathin silicon-on-insulator substrates and nanowires, which are then configured into novel transistor structures.
Article
We describe a simple graphical method for measuring film thickness by XPS, which we call a Thickogram. This method can be used even when the film and substrate peaks have very different kinetic energies and incorporates the effects of elastic scattering within the recommended range of take-off angles.
Article
We describe a simple graphical method for measuring film thickness by XPS, which we call a Thickogram. This method can be used even when the film and substrate peaks have very different kinetic energies and incorporates the effects of elastic scattering within the recommended range of take-off angles.
Article
Although electron energy spectra have been studied for years, only more recently has their usefulness in chemical‐structure problems been appreciated. Now we appear to have a new analytic method that can describe the complete electronic structure of a molecule by measuring binding energies for all the orbitals. Optical spectroscopy, in comparison, measures only the first ionization potentials, except for the very simplest molecules. And electron emissionspectra, we shall see, are also useful in such applied work as protein structure analysis and investigations of environmental pollutants. Photoelectron and Auger techniques, now routinely used to determine energy‐level schemes, are being extended to very complex molecules and hard‐to‐handle samples.
Article
Silica formed on the surface of Cool White phosphor by precipitation of either tetraethylorthosilicate or Ludox AM (aqueous colloidal silica) is not homogenously distributed. Electron spectroscopy for chemical analysis indicates that oxygen sites of the phosphor surface are preferentially coated. The preference for oxygen sites is likely the result of hydrogen bonding formation available at these sites. There was no preference for the remaining sites (Ca, F, and P) which are probably adsorbed by physisorption.
Conference Paper
The last few years have seen significant developments in ion implantation: Commercial implanters are now available with cryogenic capabilities to enable significant reductions in implant induced crystal damage; Plasma doping tools are now extensively used in fabs; Modified sources and new chemistries have been developed that allow some implants to be replaced by more exotic molecular implants to enable simultaneous co-implants and minimize end of range damage; Today's implanters give better dopant placement performance than ever before. These changes have been driven by CMOS scaling challenges, particularly at 32nm and 22nm, along with changes in thermal processing and the emergence of new implant applications. Details of some of these developments are given along with some explanation of the changes that have made them necessary.
Article
Anodic oxides on have been examined using x‐ray photoelectron spectroscopy (ESCA) combined with Ar‐ion etching. The ESCA spectra were analyzed using both analog, and in some cases digital techniques. Results showed the films to be composed of and . The composition at the surface of the films was found to be electrolyte sensitive. At the oxide‐semiconductor interface evidence was found suggesting a rich oxide region and a layer adjacent to the semiconductor which appeared to contain elemental As. Anodically grown oxide films on incorporating Al were also studied and it appeared that the regions of native oxide above and below an region had differing compositions.
Article
Room temperature borane catalyzed functionalization of hydride-terminated silicon nanocrystals (H-SiNCs) with alkenes/ alkynes is reported. This new methodology affords formation of alkyl and alkynyl surface monolayers of varied chain lengths (i.e., C5 - C12). The present study also indicates alkynes react more readily with H-SiNC surfaces than equivalent alkenes. Unlike other toxic transition metal catalysts, borane or related by-products can be readily removed from the functionalized SiNCs. The new method affords stable luminescent alkyl/alkenyl-functionalized SiNCs.
Article
Solution-based processing is a rapidly growing area in the electronics and photonics field due to the possibility of reducing fabrication costs of materials for solar cells, transistors, memory and many other devices. Moreover thanks to its intrinsic nature it provides the possibility to perform conformal processing on structured surfaces. Most of the solution-processing work has so far been devoted to organic materials, but in this work an approach focused on nanostructured silicon is presented. The idea consists in the immersion of a silicon wafer, with Si nanowires grown on top, in a chemical bath containing dopant precursors molecules diluted in a solvent. The molecules deposit from the liquid all over the exposed surfaces and work as a dopant source for the Si nanowires during successive thermal annealing. Doping levels of 1×1019 cm-3 are controllably obtained without structural damage and hetero-interfaces creation. The Si-NWs array used presents density of 2e10 cm^-2, average length of 500 nm and diameters up to 70 nm. The doped Si-NWs are then integrated in complete solar cells which have been electrically characterized. It is found that the molecular doping method applied to the SiNW arrays provides higher short circuit current and fill factor than the reference samples.
Article
We introduce a new class of spin-on dopants composed of organic, dopant-containing polymers. These new dopants offer a hybrid between conventional inorganic spin-on dopants and a recently developed organic monolayer doping technique that affords unprecedented control and uniformity of doping profiles. We demonstrate the ability of polymer film doping to achieve both p-type and n-type silicon by using boron- and phosphorus-containing polymer films. Different doping mechanisms are observed for boron and phosphorus doping, which could be related to the specific chemistries of the polymers. Thus, there is an opportunity to further control doping in the future by tuning the polymer chemistry.
Article
Semiconductor nanowires (NWs) have been studied extensively for over two decades for their novel electronic, photonic, thermal, electrochemical and mechanical properties. This comprehensive review article summarizes major advances in the synthesis, characterization, and application of these materials in the past decade. Developments in the understanding of the fundamental principles of “bottom-up” growth mechanisms are presented, with an emphasis on rational control of the morphology, stoichiometry, and crystal structure of the materials. This is followed by a discussion of the application of nanowires in i) electronic, ii) sensor, iii) photonic, iv) thermoelectric, v) photovoltaic, vi) photoelectrochemical, vii) battery, viii) mechanical, and ix) biological applications. Throughout the discussion, a detailed explanation of the unique properties associated with the one-dimensional nanowire geometry will be presented, and the benefits of these properties for the various applications will be highlighted. We conclude with a brief perspective on future research directions, and remaining barriers which must be overcome for the successful commercial application of these technologies.
Article
Low frequency noise and hot carrier reliability analysis of the plasma doping scheme are investigated for advanced fin field effect transistor (FinFET) conformal doping. Plasma doping improves device performances and hot carrier reliability for both fin resistors and FinFETs due to the absence of crystalline damage for narrow fins. One decade lower noise level and Coulomb scattering coefficient related to the crystalline damage suppression are observed for the plasma doping compared to the standard ion-implantation.
Article
The reduced dimensionality of nanowires implies that surface effects significantly influence their properties, which has important implications for the fabrication of nanodevices such as field effect transistors and sensors. This review will explore the strategies available for wet chemical functionalisation of silicon (Si) and germanium (Ge) nanowires. The stability and electrical properties of surface modified Si and Genanowires is explored. While this review will focus primarily on nanowire surfaces, much has been learned from work on planar substrates and differences between 2D and nanowire surfaces will be high-lighted. The possibility of band gap engineering and controlling electronic characteristics through surface modification provides new opportunities for future nanowire based applications. Nano-sensing is emerging as a major application of modified Sinanowires and the progress of these devices to date is discussed.
Article
We demonstrate for the first time, a 20nm FinFET using a new, conformal, and damage-free monolayer doping technique. Unlike conventional ion-implantation, this approach makes use of a dopant-containing precursor to uniformly assemble a monolayer of covalently bonded dopants to enable an ultra-shallow (Xj∼5nm) and abrupt (0.6nm/dec) junction formation around a high aspect ratio fin structure, which overcomes the possible FinFET pitch scaling limitations of traditional doping techniques. FinFETs featuring MLD junctions were successfully demonstrated with good electrostatics control down to a gate length of ∼40nm. With further scaling of the fin width, sub-threshold swing and threshold voltage roll-off can be further improved. This low damage and conformal doping is a promising technique to address key FinFET scaling issues associated with parasitic series resistance and short channel control for the 15nm node and beyond.
Article
Advantages of multiple-pulse laser annealing with a moderate energy fluence over a single-pulse annealing with a high energy fluence are demonstrated on the formation of shallow p+/n junction. When the silicon surface is preamorphized, the multiple-pulse laser annealing with a fluence adjusted to a value which can melt the amorphous layer but not crystal silicon shows that the successive pulses do not increase junction depth further but decrease sheet resistance significantly. Under this condition, the junction depth is still controlled by the depth of the preamorphized layer. However, when the laser fluence is high enough to melt the crystal silicon, the successive pulses result in the deepening of junction depth. This is attributed to the increase of surface roughness by the successive pulses, thereby increasing the total absorbed energy. © 2003 American Vacuum Society.
Article
The binding energies of Ga 3d, As 3d, Ga L3M4,5M4,5 and O 1s in Ga, As, GaAs, Ga2O3, As2O3 and As2O5 are reevaluated by means of ESCA. The calibration lines of the C 1s and the Au 4f gave different binding energies for the compound materials. In order to determine the absolute binding energies, the chemical shifts in Auger and photoelectron lines from a layered structure composed of thin layer oxide and substrate of a defined material were used. An energy calibration curve, E(Ga 3d) vs. ΔE(GA LMM - Ga 3d), was found to be useful for determination of binding energies in the material which contains gallium. In the case of the GaAs sample, both the chemical etching and the ion bombardment effects on the chemical structure of the GaAs surface are also discussed.
Article
Conventional annealing processes such as furnace annealing (FA) and rapid thermal annealing (RTA) are compared to the more advanced technique of flash lamp annealing (FLA) regarding the electroluminescence (EL) efficiency, electrical stability, defect formation, and rare-earth nanocluster (RE-nc) creation in metal-oxide-silicon-based light-emitting diodes with Gd implanted SiO2 layers. We observed strong correlation between the electroluminescence efficiency, the nanocluster size, and the annealing technique for Gd implanted oxides. The increase of the annealing temperature and time leads to an increase of the RE-nc size and decreases the EL efficiency. Therefore, short-pulse high-temperature annealing (FLA) has a large advantage over the different annealing techniques (FA and RTA) from the point of view of stable and efficient metal oxide semiconductor light emitters. (c) 2006 The Electrochemical Society.
Article
Flash-lamp annealing (FLA) technology, a new method of activating implanted impurities, is proposed. FLA is able to reduce the time of the heating cycle to within the millisecond range. With this technology, an abrupt profile is realized, with a dopant concentration that can exceed the maximum carrier concentration obtained by conventional rapid thermal annealing (RTA) or furnace annealing. In contrast to a laser annealing method, FLA can activate dopants in an 8-inch-diameter substrate and, simultaneously, strictly control diffusion of dopants so as not to melt the substrate surface by radiation. FLA presents the possibility of fabricating sub-0.1-mum MOSFETs with good characteristics.
Article
Spin-on doping of phosphorus has been investigated and applied for the emitter fabrication of crystalline Si solar cells. Heat treatment in oxygen atmosphere at relatively low temperature of 550 °C prior to phosphorus diffusion is proved effective for improving solar cell performance, showing a conversion efficiency enhancement of more than 0.2% absolute. Internal quantum efficiency measurements show obvious enhancements at both short and long-wavelength regions. Secondary ion mass spectroscopy and Infrared absorption analysis reveal reduced C impurities after the heat treatment, possibly caused by burning the organic residues in the coated dopant source layer.
Article
Contact doping method for the controlled surface doping of silicon wafers and nanometer scale structures is presented. The method, Monolayer Contact Doping (MLCD), utilize the formation of dopant containing monolayer on donor substrate that is brought to contact and annealed with the interface or structure intended for doping. A unique feature of the MLCD method is that the monolayer used for doping is formed on a separate substrate (termed donor substrate) that is distinct from the interface intended for doping (termed acceptor substrate). The doping process is controlled by anneal conditions, details of the interface, and molecular precursor used for the formation of the dopant containing monolayer. The MLCD process does not involve formation and removal of SiO2 capping layer, allowing utilization of surface chemistry details for tuning and simplifying the doping process. Surface contact doping of intrinsic Si wafers (i-Si) and intrinsic silicon nanowires (i-SiNWs) is demonstrated and characterized. Nanowire devices were formed using i-SiNW channel and contact doped using the MLCD process yielding highly doped SiNWs. Kelvin probe force microscopy (KPFM) was used to measure the longitudinal dopant distribution of the SiNWs and demonstrated highly uniform distribution in comparison with in situ doped wires. The MLCD processes was studied for i-Si substrates with native oxide and H-terminated surface for three types of phosphorous containing molecules. Sheet resistance measurements reveal the dependency of the doping process on the details of the surface chemistry used and relation to the different chemical environments of the P=O group. Characterization of the thermal decomposition of the monolayers formed on SiO2 nanoparticles (NPs) using TGA and XPS provide insight regarding the role of phosphorous surface chemistry at the SiO2 interface in the overall MLCD process. The new MLCD process presented here for controlled surface doping provide a simple yet highly versatile means for achieving post-growth doping of nanometer scale structures and interfaces.
Article
Methods that can be used to tailor the surface properties of semiconductors will become increasingly important as new applications for semiconductor-based materials continue to be developed. The attachment of organic groups in particular can impart new functionality to the surface, providing properties such as passivation, molecular recognition, lubrication, or biocompatibility. This article will focus on organic functionalization of Group IV surfaces using vapor phase delivery in a dry processing environment. A combination of experimental and theoretical methods has been applied to identify the bonding and reactivity of the organic layers at the semiconductor surface. The attachment chemistry of dienes and amines at the Si(100)-2 × 1 surface will be described. We show that the [4+2] cycloaddition (Diels−Alder reaction) occurs readily for a range of conjugated dienes at the (100)-2 × 1 surface of Si, and that the reaction occurs at the surfaces of Ge(100) and C(100) as well. In amine reactivity, competing reaction pathways such as N−H bond dissociation and dative bonding through the nitrogen lone pair have been observed. The potential for these different classes of attachment reactions to impact future applications will be discussed.
Article
The formation of organic functionalization layers on germanium (Ge) nanowires was investigated using a new synthetic protocol employing arenediazonium salts. Oxide-free, H-terminated Ge nanowires were immersed in diazonium salt/acetonitrile solutions and the molecular interface of the functionalized nanowires was analyzed by reflectance infrared spectroscopy and X-ray photoelectron spectroscopy. The morphology of the modified nanowires was investigated by electron microscopy. Surface functionalization of the nanowires was found to be slow at room temperature, but proceeded efficiently with moderate heating (50 °C). The use of arenediazonium salts can result in the formation of aryl multilayers, however the thickness and uniformity of the organic layer was found to be strongly influenced by the nature of the substituents on the aromatic ring. Substituents attached to the 3-, 4-, and 5-ring positions hindered the formation of multilayers, while the presence of sterically bulky ring substituents affected the homogeneity of the organic layers. We successfully demonstrate that arenediazonium salts are very flexible precursors for nanowire functionalization, with the possibility to covalently attach a wide variety of aromatic ligands, offering the potential to alter the thickness of the resulting outer organic shell.Keywords: Nanomaterials; Semiconductors; Surface and Interfacial Phenomena
Article
Covalent attachment of functionalized monolayers onto silicon surfaces (see Figure for examples) is presented here as a strategy for surface modification. The preparation and structure of both unfunctionalized and functionalized alkyl-based monolayers are described, as are potential applications, for example, in the surface passivation of Si solar cells and for photopatterning of silicon surfaces.
Article
The fabrication of thin, sub-40 nm doped layers in Si with high concentrations of electrically active dopants and box-like profiles is a major technological challenge. Making these regions without introducing residual defects in the material and without affecting the properties of other material regions in the device is even more difficult. The need to control these properties of doping profiles in ultra-large-scale integrated (ULSI) circuits has driven the study of low energy implantation, transient enhanced diffusion (TED), and focused the search fornew shallow junction doping techniques. In this article, wereview the motivation for shallowjunctions, specific requirements for shallow junctions used in deep sub-micron dimension metal-oxide-semiconductor field effect transistors (MOSFETs), current understanding of implant and diffusion processes, and the state-of-the-art in low energy implantation and a number of alternate doping technologies, including plasma implantation, gas-immersion laser (GILD) doping, rapid vapor-phase doping (RVD), ion shower doping, and decaborane (B10H14) implantation.
Article
The electrical properties of Si nanowires covered by a SiO2 shell are influenced by the properties of the Si/SiO2 interface. This interface can be characterized by the fixed oxide charge density Qf and the interface trap level density Dit. We derive expressions for the effective charge carrier density in silicon nanowires as a function of Qf, Dit, the nanowire radius, and the dopant density. It is found that a nanowire is fully depleted when its radius is smaller than a critical radius acrit. An analytic expression for acrit is derived.
Article
A cornerstone in the successful application of semiconductor nanowire devices is controlled impurity doping. In this review article, we discuss the key results in the field of semiconductor nanowire doping. Considerable development has recently taken place in this field, and half of the references in this review are less than 3 years old. We present a simple model for dopant incorporation during in situ doping of particle-assisted growth of nanowires. The effects of doping on nanowire growth are thoroughly discussed since many investigators have seen much stronger and more complex effects than those observed in thin-film growth. We also give an overview of methods of characterizing doping in nanowires since these in many ways define the boundaries of our current understanding.
Article
It has been reported previously [N. Bresson etal, Proceedings of the ECS Seventh International Symposium on Silicon-on-Insulator Technology and Devices, 2005 (unpublished), pp. 317–324; F Allibert etal, Proceedings of the IEEE International SOI Conference, Honolulu, HI, 2002 (unpublished)] that the film thickness strongly impacts the parameters extracted using the pseudo metal oxide semiconductor field effect transistor (pseudo-MOSFET) with the usual FET equations [S. Cristoloveanu and S. S. Li, Electrical Characterization of SOI Materials and Devices (Kluwer, Boston, MA, 1995)]. In this paper, we investigate the influence of top free-surface states on the pseudo-MOSFET characteristics by comparing passivated versus nonpassivated samples. The parameters of concern, investigated here, are carrier mobility, density of interface states, threshold (V<sub>T</sub>) , and flatband (V<sub> FB </sub>) voltages. Based on systematic measurements and existing models [H. J. Hovel, Solid-State Electron. 47, 1311 (2003)] for V<sub>T</sub> , V<sub> FB </sub> , and subthreshold slope, we show how the free-surface impact increases as the film thickness is reduced. Comparison of extracted results with simulated curves demonstrates that, in state-of-the-art ultrathin silicon on insulator structures, the preparation and properties of the free surface are no longer negligible.
Article
Micrometer-scale monolayer patterns of a phosphorus-containing molecular precursor are fabricated on nearly intrinsic Si(100) using nanoimprint lithography. The patterned sample is protected by a SiO2capping layer applied by electron beam evaporation and subjected to rapid thermal annealing (RTA) to diffuse the phosphorus dopant atoms into the bulk silicon locally.
Article
Organic functionalization of group IV semiconductor surfaces provides a means to precisely control the interfacial properties of some of the most technologically important electronic materials in use today. The 2×1 reconstructed group IV (100) surfaces in ultrahigh vacuum, in particular, have a well-defined surface that allows adsorbate−surface interactions to be studied in detail. Surface dimers containing a strong σ- and weak π-bond form upon reconstruction of the group IV (100) surfaces, imparting a rich surface reactivity, which allows useful analogies to be made between reactions at the surface and those in classic organic chemistry.
Article
We report the formation of sub-5 nm ultrashallow junctions in 4 in. Si wafers enabled by the molecular monolayer doping of phosphorus and boron atoms and the use of conventional spike annealing. The junctions are characterized by secondary ion mass spectrometry and noncontact sheet resistance measurements. It is found that the majority ( approximately 70%) of the incorporated dopants are electrically active, therefore enabling a low sheet resistance for a given dopant areal dose. The wafer-scale uniformity is investigated and found to be limited by the temperature homogeneity of the spike anneal tool used in the experiments. Notably, minimal junction leakage currents (<1 microA/cm(2)) are observed that highlights the quality of the junctions formed by this process. The results clearly demonstrate the versatility and potency of the monolayer doping approach for enabling controlled, molecular-scale ultrashallow junction formation without introducing defects in the semiconductor.
Article
Based on a close look at available body of research, generalizations are made about the organometallic surface chemistry of silicon and germanium. The field is an extremely exciting one because of the close proximity between fundamental science and technological applications. In view of this it is suggested that it is only a matter of time and circumstance before tailored organic interfaces reach their commercial potential.
Article
Attenuated total reflection Fourier transform infrared (FTIR) spectroscopy was used to characterize the surface species on oxide-free silicon nanowires (SiNWs) after etching with aqueous HF solution. The HF-etched SiNW surfaces were found to be hydrogen-terminated; in particular, three types of silicon hydride species, the monohydride (SiH), the dihydride (SiH(2)), and the trihydride (SiH(3)), had been observed. The thermal stability of the hydrogen-passivated surfaces of SiNWs was investigated by measuring the FTIR spectra after annealing at different elevated temperatures. It was found that hydrogen desorption of the trihydrides occurred at approximately 550 K, and that of the dihydrides occurred at approximately 650 K. At or above 750 K, all silicon hydride species began to desorb from the surfaces of the SiNWs. At around 850 K, the SiNW surfaces were free of silicon hydride species. The stabilities and reactivities of HF-etched SiNWs in air and water were also studied. The hydrogen-passivated surfaces of SiNWs showed good stability in air (under ambient conditions) but relatively poor stability in water. The stabilities and reactivities of the SiNWs are also compared with those of silicon wafers.
Article
Freestanding silicon nanoparticles (FS-nc-Si) have intriguing chemical and optical properties. The present contribution outlines known synthetic methodologies and protocols for surface functionalization. Recent advancements in tailoring the photoluminescence properties of FS-nc-Si and future research directions will be briefly discussed.
International Technology Roadmap for Semiconductors http:// w w w . i t r s . n e t / I T R S
  • R Duffy
  • M Shayesteh
REFERENCES (1) International Technology Roadmap for Semiconductors http:// w w w. i t r s. n e t / I T R S % 2 0 1 9 9 9 - 2014%20Mtgs,%20Presentations%20&%20Links/2013ITRS/ 2013Chapters/2013PIDS_Summary.pdf. (2) Duffy, R.; Shayesteh, M.; Thomas, K.; Pelucchi, E.; Yu, R.;
Preparation and Properties of Allyl Phosphines, Arsines, and Stannanes Electron Spectroscopy for Chemical Analysis of Cool White Phosphors Coated with SiO 2 Thin Film (28) Breeze, P. A. An Investigation of Anodically Grown Films on GaAs Using X-Ray Photoelectron Spectroscopy
  • J New
  • W J Chem Jones
  • W C Davies
  • S T Bowden
  • C Edwards
  • V E Davis
  • L H Thomas
  • K V Vijayaraghavan
  • T A Dang
New J. Chem. 2003, 27 (11), 1584−1591. (25) Jones, W. J.; Davies, W. C.; Bowden, S. T.; Edwards, C.; Davis, V. E.; Thomas, L. H. 273. Preparation and Properties of Allyl Phosphines, Arsines, and Stannanes. J. Chem. Soc. 1947, 1446−1450. (26) Vijayaraghavan, K. V. J. Indian. Chem. Soc. 1945, 22, 141−146. (27) Dang, T. A. Electron Spectroscopy for Chemical Analysis of Cool White Phosphors Coated with SiO 2 Thin Film. J. Electrochem. Soc. 1996, 143 (1), 302. (28) Breeze, P. A. An Investigation of Anodically Grown Films on GaAs Using X-Ray Photoelectron Spectroscopy. J. Electrochem. Soc. 1980, 127 (2), 454. (29) Mizokawa, Y.; Iwasaki, H.; Nishitani, R.; Nakamura, S. ESCA Studies of Ga, As, GaAs, Ga 2 O 3, As 2 O 3 and As 2 O 5. J. Electron Spectrosc.
The Netherlands Multiple- Pulse Laser Annealing of Preamorphized Silicon for Ultrashallow Boron Junction Formation Flash Lamp Annealing vs Rapid Thermal and Furnace Annealing for Optimized Metal-Oxide-Silicon-Based Light-Emitting Diodes
  • B V Elsevier
  • C H Poon
  • B J Cho
  • Y F Lu
  • M Bhat
  • A S See
  • J M Sun
  • A Muecklich
  • W Skorupa
  • H Akutsu
  • K Suguro
Elsevier B.V.: North Holland, Amsterdam, The Netherlands, 1981; p 343,344. (37) Poon, C. H.; Cho, B. J.; Lu, Y. F.; Bhat, M.; See, A. Multiple- Pulse Laser Annealing of Preamorphized Silicon for Ultrashallow Boron Junction Formation. J. Vac. Sci. Technol., B: Microelectron. Process. Phenom. 2003, 21, 706. (38) Prucnal, S.; Sun, J. M.; Muecklich, A.; Skorupa, W. Flash Lamp Annealing vs Rapid Thermal and Furnace Annealing for Optimized Metal-Oxide-Silicon-Based Light-Emitting Diodes. Electrochem. Solid- State Lett. 2007, 10, H50. (39) Ito, T.; Iinuma, T.; Murakoshi, A.; Akutsu, H.; Suguro, K.;
  • J S Kachian
  • K Wong
J. Phys. Chem. B 2002, 106 (11), 2830−2842. (12) Kachian, J. S.; Wong, K. T.; Bent, S. F. Periodic Trends in Organic Functionalization of Group IV Semiconductor Surfaces. Acc.
Impact of Free-Surface Passivation on Silicon on Insulator Buried Interface Properties by Pseudotransistor Characterization Ionization Energy of Donor and Acceptor Impurities in Semiconductor Nanowires: Importance of Dielectric Confinement
  • G Hamaide
  • F Allibert
  • H Hovel
  • S Cristoloveanu
  • G Allan
Inorg. Chem. 2003, 42 (7), 2398−2404. (43) Hamaide, G.; Allibert, F.; Hovel, H.; Cristoloveanu, S. Impact of Free-Surface Passivation on Silicon on Insulator Buried Interface Properties by Pseudotransistor Characterization. J. Appl. Phys. 2007, 101 (11), 114513. (44) Diarra, M.; Niquet, Y.-M.; Delerue, C.; Allan, G. Ionization Energy of Donor and Acceptor Impurities in Semiconductor Nanowires: Importance of Dielectric Confinement. Phys. Rev. B: Condens. Matter Mater. Phys. 2007, 75 (4), 045301.
Ga 2 O 3 , As 2 O 3 and As 2 O 5
  • Studies
  • Ga
  • As
  • Gaas
Studies of Ga, As, GaAs, Ga 2 O 3, As 2 O 3 and As 2 O 5. J. Electron Spectrosc. 649
Damage Free, Ultra Shallow Junctions 662 (Xj∼5 nm) Formed with Molecular Monolayer Doping Technique
  • Conformal Results Utilizing
Results Utilizing Conformal, Damage Free, Ultra Shallow Junctions 662 (Xj∼5 nm) Formed with Molecular Monolayer Doping Technique. 663
and Tri(methylallyl)arsine Complexes of Palladium(II) and 635 Platinum(II): Synthesis, Spectroscopy, Photochemistry and Structures. 636
  • Tri
Tri(allyl)-and Tri(methylallyl)arsine Complexes of Palladium(II) and 635 Platinum(II): Synthesis, Spectroscopy, Photochemistry and Structures. 636 New J. Chem. 2003, 27 (11), 1584−1591. (25) 637