Conference Paper

A single clock cycle MIPS RISC processor design using VHDL

Authors:
  • Multimedia University, Cyberjaya, Malaysia.
To read the full-text of this research, you can request a copy directly from the authors.

Abstract

This paper describes a design methodology of a single clock cycle MIPS RISC Processor using VHDL to ease the description, verification, simulation and hardware realization. The RISC processor has fixed-length of 32-bit instructions based on three different format R-format, I-format and J-format, and 32-bit general-purpose registers with memory word of 32-bit. The MIPS processor is separated into five stages: instruction fetch, instruction decode, execution, data memory and write back. The control unit controls the operations performed in these stages. All the modules in the design are coded in VHDL, as it is very useful tool with its concept of concurrency to cope with the parallelism of digital hardware. The top-level module connects all the stages into a higher level. Once detecting the particular approaches for input, output, main block and different modules, the VHDL descriptions are run through a VHDL simulator, followed by the timing analysis for the validation, functionality and performance of the designated design that demonstrate the effectiveness of the design.

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... If all these operations are performed in a single cycle then it will take larger time to execute one instruction, and maximum clock frequency that can be applied is reduced. Therefore single clock cycle processors operate at lower frequency [3,6]. To improve the clock speed operation of processor is divided into sub units by applying pipelining. ...
... MHz . Table 3 shows the comparison between present work and the mips-core [10], low power MIPS [6] and Tiny-CPU [8]. The maximum frequency in present design is higher in comparison with the low power MIPS [6], MIPS core [10], Tiny-CPU [8] ...
... Table 3 shows the comparison between present work and the mips-core [10], low power MIPS [6] and Tiny-CPU [8]. The maximum frequency in present design is higher in comparison with the low power MIPS [6], MIPS core [10], Tiny-CPU [8] ...
Article
Full-text available
This paper proposes design of six stage pipelined processor. The architecture is modified to increase the speed of operation. The architecture of the processor includes the ALU, Pipelined data-path, Data forwarding unit, Control logic, data and program memories and Hazard control unit. Hazard detection unit and data forwarding unit have been included for efficient implementation of the pipeline. Design and verification of processor has been done using Verilog on Xilinx 14.1 platform and ASSEMBLER is written in PERL language which decrease the complexity of instruction writing in program memory is used in this design. As a result this design uses 1168 LUTs and achieves 277.9MHz frequency and it achieves 20% better performance on single-thread program than conventional pipelined processor.
... In this procedure the information is included a tiny bit at a time by the include direction from the processor. The information is including as appeared in the above lattice (1). Result is appeared from the reproduction of roundabout convolution handle Simulation consequence of plan RISC processor for flow convolution. ...
... Ref. [1] Ref. [2] Ref. [3] Ref. [4] Ref. [ ...
... MIPS are a load/store architecture, which means that only load and store instructions access memory. Other instructions can only operate on values in registers [2][3][4][5]. Generally, the MIPS instructions can be broken into three classes: the memory-reference instructions, the arithmetic-logical instructions, and the branch instructions. ...
... The pipeline structure of the processor is a modified version of a popular load/store RISC [4]. The basic pipeline for a MIPS integer unit contains 5 stages: Instruction fetch (IF), Instruction decode (ID), Execution (EX), Memory access (MEM), and Write back (WB). ...
Conference Paper
Full-text available
The paper describes the design and synthesis of a basic 5 stage pipelined MIPS-32 processor for finding the longer path delay using different process technologies. The large propagation delay or critical path within the circuit and improving the hardware which causes delay is a standard method for increasing the performance. The organization of pipeline stages in such a way that pipeline can be clocked at a high frequency. The design has been synthesized at different process technologies targeting using Spartan3, Spartan6, Virtex4, Virtex5 and Virtex6 devices. The synthesis report indicates that critical path delay is located in execution unit. The maximum critical path delay is 41.405ns at 90nm technology and minimum critical path delay is 6.57ns at 40nm technology. The performance comparison result at different technologies shows that pipeline processor can work at 178MHz in 40nm technology i.e. 49.7% better than other technologies.
... MIPS are a load/store architecture, which means that only load and store instructions access memory. Other instructions can only operate on values in registers [2][3][4][5]. Generally, the MIPS instructions can be broken into three classes: the memoryreference instructions, the arithmetic-logical instructions, and the branch instructions. ...
... The pipeline structure of the processor is a modified version of a popular load/store RISC [4]. The basic pipeline for a MIPS integer unit contains 5 stages: Instruction fetch (IF), Instruction decode (ID), Execution (EX), Memory access (MEM), and Write back (WB). ...
Conference Paper
Full-text available
The paper describes the design and synthesis of a basic 5 stage pipelined MIPS-32 processor for finding the longer path delay using different process technologies. The large propagation delay or critical path within the circuit and improving the hardware which causes delay is a standard method for increasing the performance. The organization of pipeline stages in such a way that pipeline can be clocked at a high frequency. The design has been synthesized at different process technologies targeting using Spartan3, Spartan6, Virtex4, Virtex5 and Virtex6 devices. The synthesis report indicates that critical path delay is located in execution unit. The maximum critical path delay is 41.405ns at 90nm technology and minimum critical path delay is 6.57ns at 40nm technology. The performance comparison result at different technologies shows that pipeline processor can work at 178MHz in 40nm technology i.e. 49.7% better than other technologies.
... Configurability is the capability for changing the configuration of the microprocessor during runtime, and it will ensure the performance of power, area, and IoT application [47]. The specific processor of microarchitecture is the best window resource to balance both Memory Level (ML) and Instruction Level (IL) based [48]. Thus, the ML has shrunken, and IL tends to lead to better performance of the processor [49]. ...
Article
Nowadays, microarchitecture has utilized many digital applications to enrich the performance of the gadget. The microarchitecture is effectively applicable in the Internet of Things (IoT) to maximize communication performance by designing a specific processor. Usually, the microarchitecture in IoT is structured in a dynamic environment to handle the multiple diverse works simultaneously. But the damaged or weak processor can push the process of microarchitecture into trouble by consuming more energy. So, the awareness of microarchitecture merits and limitations is the needed assessment to select a good processor. Hence, this current article has prepared a detailed review of energy efficiency microarchitecture in IoT gadgets and their functions to accelerate communication. Several literature works were discussed with their advances and limitations in both table and graphical way. Finally, the discussion section has elaborated on the common defeats in the reviewed literature and its reason. Finally, future works have directed the following studies to improve the microarchitecture efficiency score.
... Using the instruction sets in the processor architecture, the implementation path is designed and there are several steps to complete the datapath of the MIPS processors which are instruction fetch, instruction decode, execute, data memory, and write back, used to execute the system in a single clock cycle. [2] The overal stages for the MIPS processor is shown in figure 1, using the overall datapath. ...
Article
Full-text available
In this paper, the design of a 32-bit single-cycle MIPS RISC Processor in terms of simulation is realized using the VHDL programming language. The RISC computer architecture has hardware infrastructure that eases the implementation of the instruction network to perform load and store instructions. The MIPS RISC processor has different instruction formats as R-type, I-type, and J-type and these instructions realize specific operations decoded into their bits in the datapath of the overall structure. There are several blocks that realize and control the processor architecture and the network of the MIPS is divided into different stages based on the operation realized. Once the datapath and the necessary connections inside the processor architecture are terminated, the ALU will calculate the desired operation using instructions. The Artix-7 (xc7a35tcpg236-1) kit inside the Xilinx ISE Design Suite is used and implemented for simulation purposes. In the end, the results based on the instruction sets are obtained and interpreted.
... The MIPS has three different formats, which they are the R-type, I-type and J-type. Table 2 shows the different instructions formats for the MIPS processor [13][14][15][16]. ...
Article
Full-text available
Many systems like the control systems and in communication systems, there is usually a demand for matrix inversion solution. This solution requires many operations, which makes it not possible or very hard to meet the needs for real-time constraints. Methods were exists to solve this kind of problems, one of these methods by using the LU decomposition of matrix which is a good alternative to matrix inversion. The LU matrices are two matrices, the L matrix, which is a lower triangular matrix, and the U matrix, which is an upper triangular matrix. In this paper, a design of dual-core processor is used as the hardware of the work and certain software was written to enable the two cores of the dual-core processor to work simultaneously in computing the value of the L matrix and U matrix. The result of this work are compared with other works that using single-core processor, and the results found that the time required in the cores of the dual-core is more less than using single-core. The designed dual-core processor is invoked using the VHDL language.
... The proposed RISC architecture which consists of instruction fetch unit, instruction decoder, execution unit, memory unit and control unit is as shown below [4]: ...
Conference Paper
Full-text available
With the advent of technology, digital signal processing applications are flourishing prominently in space, medical and many commercial related areas. RISC processor is the heart of many high speed applications of embedded and digital signal processing. Floating point representation has prevalent ascendancy over fixed point numbers as it endeavors dynamic range of values. Hence in this paper a high speed MIPS based 32 bit RISC processor with single precision floating point unit for DSP applications is proposed. The inclination of the entire design is towards improving the performance of floating point arithmetic unit so as the performance of the entire RISC processor is ameliorated. The proposed processor is proficient of executing arithmetic, logical, floating point, data transfer, memory, shifting and rotating instructions. The complex multiplication are frequently used in the DSP applications and thus a special instruction for complex multiplication is incorporated. The multiplication engross most of the time, power and area of any operation, on that account the multiplier are reduced in number from four to two as compared to conventional complex multiplication method. The design is coded in Verilog HDL, simulated on Xilinx ISE 13.1 and synthesized on Spartan 6. Results indicates that the proposed design is optimized in speed as well as in area.
... Many previous researches have designed single Core (single cycle or pipeline processor) that can execution some instruction of MIPS processor [5][6][7][8][9][10]. In this work all instructions are designed with extra (hlt) instruction that could be used to stop program execution. ...
Conference Paper
Full-text available
With trends computer manufacturers to build computers that have Multicore processors, it becomes necessary to study the hardware architecture of this processor and the way of manage data between Cores. All the previous researches were designing single cycle processors or pipeline processors by FPGA (Field Programmable Gate Array). This is a first research work on parallel processing to design and implement a Multicore processor by FPGA. In this work Multicore processor has two Cores and each Core consists of 5-stage pipeline MIPS (Microprocessor without Interlocked Pipeline Stages) RISC (Reduced Instruction Set Computer) processor. Separated data cache and instruction cache were added to each Core. MESI (Modified, Exclusive, Shared and Invalid) protocol is used to manage cache coherence and memory coherence which support Write-back policy where replacement algorithm is not needed. Many programs are tested on this design and the correct results were obtained. The VHDL (Very high speed integrated circuit Hardware Description Language) of the complete Multicore processor is implemented by using (Xilinx ISE Design Suite 13.4) Software and configured on FPGA Spartan-3AN starter kit and results from the kit were obtained.
... If all these operations are performed in a single cycle, then it will take longer time to execute one instruction, and maximum clock frequency that can be applied is reduced. Therefore, single clock cycle processors operate at lower frequency (Mamun & Sulaiman, 2002). ...
Article
This article proposes design and architecture of a dynamically scalable dual-core pipelined processor. Methodology of the design is the core fusion of two processors where two independent cores can dynamically morph into a larger processing unit, or they can be used as distinct processing elements to achieve high sequential performance and high parallel performance. Processor provides two execution modes. Mode1 is multiprogramming mode for execution of streams of instruction of lower data width, i.e., each core can perform 16-bit operations individually. Performance is improved in this mode due to the parallel execution of instructions in both the cores at the cost of area. In mode2, both the processing cores are coupled and behave like single, high data width processing unit, i.e., can perform 32-bit operation. Additional core-to-core communication is needed to realise this mode. The mode can switch dynamically; therefore, this processor can provide multifunction with single design. Design and verification of processor has been done successfully using Verilog on Xilinx 14.1 platform. The processor is verified in both simulation and synthesis with the help of test programs. This design aimed to be implemented on Xilinx Spartan 3E XC3S500E FPGA.
... If all these operations are performed in a single cycle, then it will take longer time to execute one instruction, and maximum clock frequency that can be applied is reduced. Therefore, single clock cycle processors operate at lower frequency (Mamun & Sulaiman, 2002). ...
Article
This article proposes design and architecture of a dynamically scalable dual-core pipelined processor. Methodology of the design is the core fusion of two processors where two independent cores can dynamically morph into a larger processing unit, or they can be used as distinct processing elements to achieve high sequential performance and high parallel performance. Processor provides two execution modes. Mode1 is multiprogramming mode for execution of streams of instruction of lower data width, i.e., each core can perform 16-bit operations individually. Performance is improved in this mode due to the parallel execution of instructions in both the cores at the cost of area. In mode2, both the processing cores are coupled and behave like single, high data width processing unit, i.e., can perform 32-bit operation. Additional core-to-core communication is needed to realise this mode. The mode can switch dynamically; therefore, this processor can provide multifunction with single design. Design and verification of processor has been done successfully using Verilog on Xilinx 14.1 platform. The processor is verified in both simulation and synthesis with the help of test programs. This design aimed to be implemented on Xilinx Spartan 3E XC3S500E FPGA.
... The authors in [1] presented a design methodology of a single clock cycle Processor using VHDL to ease the description, verification, simulation and hardware realization. The RISC processor has fixed-length of 32-bit instructions based on three different formats: R-format, I-format and J-format, and 32-bit general-purpose registers with memory word of 32-bit. ...
Article
This paper presents the design and verification of 16 bit processor. The Booth multiplier and restoring division are integrated in to the ALU of the proposed processor. The processor is described in structural level to verify the general understanding of the system. The processor has 16-bit instruction based on three different format R-format, I-format and J-format. The control unit generates all the control signals needed to control the coordination among the entire component of the processor. All the modules in the design are coded in VHDL (very high speed integrated circuit hardware description language) to ease the description, verification, simulation and hardware implementation. The design entry, synthesis, and simulation of processor are done by using Xilinx ISE 10.1 software and implemented on XC2S200-6pq208 Spartan-II FPGA device.
Article
Full-text available
Pipelining is the concept of overlapping of multiple instructions to perform their operations to optimize the time and ability of hardware units. This paper presents the design and implementation of 6 stage pipelined architecture for High performance 64-bit Microprocessor without Interlocked Pipeline Stages (MIPS) based Reduced Instruction set computing (RISC) processor. In this work, combining efforts of pre-fetching unit, forwarding unit, Branch and Jump predicting unit, Hazard unit are used to reduce the hazards. Low power unit is used to minimize the power. Cache Memories, other devices and especially balancing pipeline stages optimize the Speed in this work. DDR4 SDRAM (Double Data Rate type4 Synchronous Dynamic Random Access Memory) controller is employed in this pipeline to achieve high-speed data transfers and to manage the entire system efficiently. Low power, Low delay Flip flops are used in pipeline registers that implicitly enhance the performance of the system. The proposed method provides better results compared to the existing models. The simulation and synthesis results of the proposed Architecture are evaluated by Xilinx 14.7 software and supporting graphs are plotted through MATLAB tool
Article
Full-text available
This paper presents the process of developing a 32-bit MIPS processor with reduced functionality, using concepts of reconfigurable computing through the synthesis on Field Programmable Gate Array (FPGA) using VHDL language to describe the hardware. The result of this project could be used as teaching material in related disciplines, besides offering a validated IP of MIPS 32 bits processor, which can be further used as part of various research projects in microelectronics, computer architecture and hardware description languages.
Thesis
Full-text available
Esse trabalho apresenta o processo de desenvolvimento de um processador MIPS de 32 bits com funcionalidades reduzidas, utilizando conceitos de computação reconfigurável, por meio da sintetização em FPGA utilizando a linguagem VHDL para descrição do hardware. Além do processador, são abordadas técnicas de construção de uma plataforma de interação com o usuário, denominada MIPSDUINO32. Essa, desenvolvida utilizando Arduino, tem como objetivo proporcionar a seus usuários uma interface transparente para comunicação com o processador desenvolvido, sem que esses necessitem compreender técnicas e ferramentas de simulação de códigos em VHDL, e processos de baixo nível para comunicação. O resultado desse projeto poderá ser utilizado como material didático em disciplinas relacionadas no IFMG campus Formiga, além de oferecer a esse, um IP validado do processador MIPS 32 bits, que poderá ser futuramente utilizado como parte de variados projetos de pesquisa na área de microeletrônica, arquitetura de computadores e linguagens de descrição de hardware.
Article
Full-text available
This paper presents the design and implement a basic five stage pipelined MIPS-32 CPU. Particular attention will be paid to the reduction of clock cycles for lower instruction latency as well as taking advantage of high-speed components in an attempt to reach a clock speed of at least 100 MHz. The final results allowed the CPU to be run at over 200 MHz with a very reasonable chip area of around 900,000nm2.
Conference Paper
Many algorithms have been design in order to accomplish an improved the performance of the filters by using the convolution design. The architecture of the proposed RISC CPU is a uniform 32-bit instruction format, single cycle non-pipelined processor. It has load/store architecture, where the operations will only be performed on registers, and not on memory locations. It follows the classical von-Neumann architecture with just one common memory bus for both instructions and data. A total of 27 instructions are designed in initial development step of the processor. The instruction set consists of Logical, Immediate, Jump, Load, store and HALT type of instruction. The combined advantages RISC processor such as high speed, low power, area efficient and operation-specific design possibilities have been analyzed.
Conference Paper
VHDL Very High Speed Integrated Circuits Hardware Description Language) is widely used for ASIC (Application Specific Integrated Circuits) emulation, as well as a solution for applications with high volatility. FPGA (Field Programmable Gate Array) give quick time to market, and its feature of re-programmability often makes them the main part of the system. This paper presents the design of a RISC (Reduced Instruction Set Computer) CPU architecture based on MIPS (Microprocessor Interlock Pipeline Stages) using VHDL. It also describes the instruction set, architecture and timing diagram of the processor. Floating point number to fixed number conversion is the main task while working on this numbers, this conversion has been achieved by using Float to Fixed number converter module. Finally, design, synthesis and simulation of the proposed RISC Processor based on MIPS has been achieved using Xilinx ISE 13.1i Simulator and coding is written in VHDL language.
Conference Paper
Full-text available
This paper presents the design and implement a basic five stage pipelined MIPS-32 CPU. Particular attention will be paid to the reduction of clock cycles for lower instruction latency as well as taking advantage of high-speed components in an attempt to reach a clock speed of at least 100 MHz. The final results allowed the CPU to be run at over 200 MHz with a very reasonable chip area of around 900,000 nm2.
Conference Paper
The main objective of the project is to design and simulate 32Bit MIPS (Microprocessor Interlocked Pipeline Stages) RISC (Reduced Instruction Set Computer) Processor using VHDL (Very High Speed Integrated Circuit Hardware Description Language). In this paper, we analyze Instruction fetch module, Decoder module, Execution module which includes 32Bit Floating point ALU, Flag register of 32Bit, MIPS Instruction Set, and 32Bit general purpose registers and design theory based on 32Bit MIPS RISC Processor. Furthermore, we use pipeline concept which involves Instruction Fetch, Instruction Decode, Execution, Memory and Write Back modules of MIPS RISC processor based on 32Bit MIPS Instruction set in a single clock cycle. All the modules in the design are coded in VHDL, as it is very useful language with its concept of concurrency to cope successfully with the parallelism of digital hardware. Finally, Synthesis and Simulation of the design is done in XILINX 13.1i ISE Simulator.
Article
Five stage pipelined MIPS processor architecture with reduced dynamic power and improved clock cycles per instruction and million instructions per second is proposed in this paper. To eliminate hazards which are introduced in the pipelined processors, NOP instruction is added. NOP instructions do not contribute to any useful work, so the power consumed during NOP instruction is wasted. In the proposed architecture dual write port register file is used to support dual write-back operation, which reduces the number of NOP instruction in the pipeline and further reduces the dynamic power. The processor architecture is described using verilog and synthesized using Xilinx ISE 14.1.
Conference Paper
In this work we present the design and implementation on FPGAs of a 16 bit microcontroller. Since this microcontroller has academic purposes, its architecture is simple, complete and open. Furthermore, its assembler language was also designed and a translator program in Python is provided. The arithmetic/logic unit designed only include integer operations, and we aggregate a carry free multiplier to make easy some computations needed in cryptographic and coding theory applications which require binary field arithmetic. To demonstrate its functionality we choose a non trivial application, the implementation of two Authenticated Encryption schemes CCM and GCM. Our design can be used in economic range FPGAs as Spartan 3 or faster range as Virtex 5 or higher.
Conference Paper
This paper describes the design and analysis of the functional units of RISC based MIPS architecture. The functional units includes the Instruction fetch unit, instruction decode unit, execution unit, data memory and control unit. The functions of these modules are implemented by pipeline without any interlocks and are simulated successfully on Modelsim 6.3f and Xilinx 9.2i. It also attempts to achieve high performance with the use of a simplified instruction set.
Article
This paper describes the implementation of various line coding schemes using VHDL on a single chip and enables the user to select one of them for the purpose of security, area optimization and can support communication in varying channel environment. The choice of line code depends upon presence or absence of DC level, power spectral density, bandwidth, BER performance, ease of clock signal recovery and presence or absence of inherent error detection property. The line encoding schemes used are Unipolar RZ and NRZ, Polar RZ and NRZ, AMI and Manchestor codings. Select pin impinged on the chip enables the users to select any one of the line encoding technique according to their requirement. The waveforms of Universal Line Encoder are presented using Modelsim 6.4.
Conference Paper
Full-text available
This paper describes the design, development and implementation of an 8-bit RISC controller IP core. The controller has been designed using Very high speed integrated circuit Hardware Description Language (VHDL). The design constraints are speed, power and area. This controller is efficient for specific applications and suitable for small applications. This non-pipelined controller has four units: - Fetch, Decode, Execute and a stage control unit. It has an in built program and data memory. Also it has four ports for communicating with other I/O devices. A hierarchical approach has been used so that basic units can be modeled using behavioral programming. The basic units are combined using structural programming. The design has been implemented using ALTERA STRATIX II FPGA.
Article
This paper proposes a low-complexity vector-core called LcVc for executing both scalar and vector instructions on the same execution datapath. A unified register file in the decode stage is used for storing both scalar operands and vector elements. The execution stage accepts a new set of operands each cycle and produces a new result. Rather than issuing a vector instruction (1-D operations) as a whole, each vector operation is issued sequentially with the existing scalar issue hardware. In the first implementation of LcVc, all loads and stores of registers take place from the data cache in the memory access stage in a rate of one element per clock cycle. The complete design of our proposed LcVc processor is implemented using VHDL targeting the Xilinx FPGA Spartan 3E, xc3s1600e-4-fg320 device. The total number of slices required for implementing LcVc is 1778, where the number of slice flip-flops is 538 and the number of 4-input LUTs is 3706: 1914 for logic and 1792 for RAMs. Moreover, our performance evaluation results show that the speedup of executing vector addition, vector scaling, SAXPY, and matrix–matrix multiplication on LcVc over the scalar execution are 2.3, 2.5, 1.9, and 3, respectively. The hardware required to support the enhanced vector capability is insignificant (5%), which results in reducing the area per core and increasing the number of cores available in a given chip area.
Conference Paper
The behavioural modelling of VHDL-AMS is a key factor in the development of analog and mixed-signal designs for communication devices. This paper presents a framework for the development of programmable mixed-signal devices, which integrates both programmable analog and digital circuits. The framework uses a VHDL-AMS based language, called VHDL-AMS-RTS, to describe the real-time domain and stochastic behaviour to adapt the simulation and performance analysis. The real-time stochastic statements of VHDL-AMS-RTS are added to the VHDL-AMS, which include time ordering and time constraint, probabilistic behaviour and quantitative description of mixed-signal devices. With this behavioural modelling environment it is possible to predict and optimize the analog and digital hardware using simulation but with a lower computational time and cost. To demonstrate the usefulness of the framework, we apply it to the structural performance analysis of soft input soft output (SISO) module of turbo decoding.
Article
The application of a radial basis function network to digital communications channel equalization is examined. It is shown that the radial basis function network has an identical structure to the optimal Bayesian symbol-decision equalizer solution and, therefore, can be employed to implement the Bayesian equalizer. The training of a radial basis function network to realize the Bayesian equalization solution can be achieved efficiently using a simple and robust supervised clustering algorithm. During data transmission a decision-directed version of the clustering algorithm enables the radial basis function network to track a slowly time-varying environment. Moreover, the clustering scheme provides an automatic compensation for nonlinear channel and equipment distortion. Computer simulations are included to illustrate the analytical results
Article
In this paper we present a design case study using Handel-C---a recently developed programming language for compilation of high-level programs directly into FPGA hardware. The design is an 8-bit RISC microcontroller core with 33 instructions, prescaler and a programmable timer. Handel-C was used throughout the entire design and debugging flow. The RISC microcontroller design was implemented in on the XESS XS40 FPGA board with Xilinx XC4010XL FPGA . The overall design, including debugging, testing and the FPGA implementation was completed in less than 48 man-hours.
Wide range of comprehensive tools speed, Development of high-performance embedded system
  • White Paper
RISC Principles, Architecture, and Design
  • Charles E Gimarc
  • M Veljko
  • Milutinovic