ArticlePublisher preview available

Multiple frequency capacitively coupled plasmas as a new technology for sputter processes

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

Abstract and Figures

A novel large area multiple frequency coupled plasma is introduced for sputter deposition purposes. The discharge is driven by three different excitation frequencies (13.56, 27.12 and 60 MHz) simultaneously for advanced control of Ar ion flux and energy at the target by applying the electrical asymmetry effect during sputter processes. Optical emission spectroscopy is performed to characterize the sputter plasma with respect to plasma parameters as well as the Al transport through the plasma. The spectroscopic data are compared with TRIDYN calculation in combination with a simulation of the transport of atoms through the plasma volume.
This content is subject to copyright. Terms and conditions apply.
IOP PUBLISHING JOURNAL OF PHYSICS D: APPLIED PHYSICS
J. Phys. D: Appl. Phys. 46 (2013) 084010 (8pp) doi:10.1088/0022-3727/46/8/084010
Multiple frequency capacitively coupled
plasmas as a new technology for sputter
processes
S Bienholz, N Bibinov and P Awakowicz
Institute for Electrical Engineering and Plasma Technology, Ruhr-University Bochum, Universitaetsstr.
150, 44801 Bochum, Germany
E-mail: bienholz@aept.rub.de
Received 13 July 2012, in final form 21 November 2012
Published 1 February 2013
Online at stacks.iop.org/JPhysD/46/084010
Abstract
A novel large area multiple frequency coupled plasma is introduced for sputter deposition
purposes. The discharge is driven by three different excitation frequencies (13.56, 27.12 and
60 MHz) simultaneously for advanced control of Ar ion flux and energy at the target by
applying the electrical asymmetry effect during sputter processes.
Optical emission spectroscopy is performed to characterize the sputter plasma with respect
to plasma parameters as well as the Al transport through the plasma. The spectroscopic data
are compared with TRIDYN calculation in combination with a simulation of the transport of
atoms through the plasma volume.
1. Introduction
In industrial applications multiple frequency capacitively
coupled plasmas (MFCCPs) are mostly applied in reactive
ion etching or plasma enhanced chemical vapour deposition
(PECVD) e.g. for solar panel production. However, in physical
vapour deposition (PVD) sputter applications MFCCPs can
be an appropriate alternative for specialized problems such as
ceramic or magnetic film deposition [1].
Nowadays, dc magnetrons are the most applied sputter
sources in nearly all fields of PVD technology. This is mainly
due to high deposition rates resulting from a high electron
density in the strongly magnetized area in front of the target.
Electrons are trapped in helical paths around the magnetic field
lines in the plasma, whereas the electric field of the plasma
boundary sheath reflects the electrons at the edges. Therefore
the kinetic energy of secondary electrons coming from the
target is efficiently transferred into ionization in the magnetized
plasma region. In principle, this concept works well for
all metallic target materials with a low relative permeability.
For insulating targets and insulating films in reactive sputter
processes, a dc plasma process is not sustainable, because
the dc current cannot penetrate through those materials.
Therefore, dc sources are usually replaced by rf power supplies
with the drawback of lower sputter rates. The electric circuit
is then closed by the displacement current. In the case of
ferromagnetic target materials, the magnetic field is short-
circuited in the target material itself making the magnetron
inefficient. In both cases, the ferromagnetic [1] as well as
the ceramic sputter deposition processes, multiple frequency
plasmas are a good compliment to existing technologies.
Multiple frequency discharges in PVD processes exhibit
a strong frequency coupling behaviour which needs to be
controlled carefully to ensure high-quality films. The main
challenge is to achieve a large range of independent control of
ion bombarding energy and electron density. The interaction
of driving frequencies in dual frequency CCPs with respect
to plasma sheath behaviour was studied in detail by Rauf
and Kushner [2]. They found a strong dependence of the
excitation frequency on the impedances of the plasma and
its sheath, which leads under certain circumstances to an
independent behaviour of very different frequencies. Kitajima
et al [3] verified the investigation experimentally by proving
the separability of substrate biasing frequency and discharge
excitation frequency through optical emission spectroscopy
(OES) for an etching reactor. Gans et al [4] studied complex
excitation frequency coupling mechanisms and their influence
on discharge excitation and ionization dynamics via phase
resolved OES. These results were verified experimentally
by Semmler et al [5] through the electrostatic probe and rf
current sensor measurements. Several studies on the effect of
the relative phase between integer driving frequencies were
0022-3727/13/084010+08$33.00 1© 2013 IOP Publishing Ltd Printed in the UK & the USA
... Therefore, no variation of T e is expected during changing the relative phase shift θ or an increased power input. The absolute value of T e ≈3 eV is chosen according to measurement results at similar conditions in previous experiments [101]. The time-averaged voltage drop across the grounded sheath is 13 27 . ...
... The electron density alongside the circular electrode axis within the plasma gap was measured using optical emission spectroscopy in a previous work for similar process conditions [101]. In that work, the plasma emission was observed with an optical fibre connected to an Echelle spectrometer ESA 3000 (200-800 nm, LLA Instruments GmbH). ...
... Summarizing, a correlation of the grown preferential orientation with the varied mean ion energy is found. The preferential orientation can be adjusted in a narrow energy regime between 53 and 57 eV for the preferential (002) orientation and switches to a coexistence of the upcoming (100), (101) and (110) oriented grains. At this point, a detailed understanding of the change in preferential film orientation with increasing ion energy bombardment requires further investigations of the atomic processes at the film surface during film growth, which are beyond the scope of this work. ...
Article
Full-text available
A knowledge-based understanding of the plasma-surface-interaction with the aim to precisely control (reactive) sputtering processes for the deposition of thin films with tailored and reproducible properties is highly desired for industrial applications. In order to understand the effect of plasma parameter variations on the film properties, a single plasma parameter needs to be varied, while all other process and plasma parameters should remain constant. In this work, we use the Electrical Asymmetry Effect in a multi-frequency capacitively coupled plasma to control the ion energy at the substrate without affecting the ion-to-growth flux ratio by adjusting the relative phase between two consecutive driving harmonics and their voltage amplitudes. Measurements of the ion energy distribution function and ion flux at the substrate by a retarding field energy analyzer combined with the determined deposition rate R d for a reactive Ar/N 2 (8:1) plasma at 0.5 Pa show a possible variation of the mean ion energy at the substrate E m ig within a range of 38 and 81 eV that allows the modification of the film characteristics at the grounded electrode, when changing the relative phase shift θ between the applied voltage frequencies, while the ion-to-growth flux ratio Γ ig /Γ gr can be kept constant. AlN thin films are deposited and exhibit an increase in compressive film stress from −5.8 to −8.4 GPa as well as an increase in elastic modulus from 175 to 224 GPa as a function of the mean ion energy. Moreover, a transition from the preferential orientation (002) at low ion energies to the (100), (101) and (110) orientations at higher ion energies is observed. In this way, the effects of the ion energy on the growing film are identified, while other process relevant parameters remain unchanged.
... The pressure is monitored by a capacitive gauge (MKS 6274BX01). A more detailed description of the reactor and the gas handling system can be found elsewhere [29,30]. The powered electrode is driven by two excitation frequencies, 271.2 kHz and 27.12 MHz. ...
Article
Full-text available
The plasma dynamics of a low pressure oxygen capacitively coupled plasma (CCP) driven by dual frequencies (27.12 MHz and 271.2 kHz) is studied experimentally and numerically in this work. A retarding field energy analyzer system (RFEA) is employed in the experiment to measure the ion energy distribution function (IEDF) at the grounded electrode for different combinations of low-frequency voltages and pressures. One-dimensional particle-in-cell simulations of the oxygen plasma are conducted at the experimental conditions. A typical bimodal IEDF is observed and good agreement is obtained between experiments and simulations. A semi-analytical model based on the Child law sheath and fitted sheath voltage is constructed to study the structure of the IEDF. It is found that for the investigated conditions the low-energy peak of the IEDF is independent of the low frequency (LF) voltage but determined by the the minimum sheath voltage during the sheath collapse; the energy spread of IEDF scales linearly with the LF voltage; both an increase of LF voltage and pressure create more low-energy ions.
... This knowledge can potentially be exploited for engineering device performance by using multiple frequency capacitively coupled plasmas (MFCCPs) for sputter deposition. An MFCCP allows to control the ion energy and the ion flux independently of one another during sputtering and thus can help to control different process parameters [118,119]. ...
Chapter
Full-text available
In a memristor or a so-called memristive device, the resistance state depends on the previous charge flow through the device. The new resistance state is stored and classifies a memristor as a non-volatile memory device. This likewise unique and simple feature qualifies memristive devices as attractive compartments with regard to the development of a universal memory and beyond von Neumann computing architectures, including in-memory computing and neuromorphic circuits. In this chapter, we present studies on two kinds of bi-layer metal oxide memristive devices with the layer sequences Nb/NbO $$_{\textrm{z}}$$ z /Al $$_2$$ 2 O $$_3$$ 3 /Nb $$_{\textrm{x}}$$ x O $$_{\textrm{y}}$$ y /Au and TiN/TiO $$_\textrm{x}$$ x /HfO $$_\textrm{x}$$ x /Au, either prepared by reactive DC-magnetron sputtering, etching and optical lithography. It is shown that the memristive properties of such devices can be engineered, which enables tailoring of the memristive devices for particular applications.
... Capacitively coupled radio frequency (CCRF) discharges are used in many industrial processes such as etching and sputtering [1][2][3]. ...
Article
Full-text available
In capacitively coupled radio frequency (CCRF) discharges, the interaction of the plasma and the surface boundaries is linked to a variety of highly relevant phenomena for technological processes. One possible plasma-surface interaction is the generation of secondary electrons (SEs), which significantly influence the discharge when accelerated in the sheath electric field. However, SEs, in particular electron-induced SEs ($\updelta$-electrons), are frequently neglected in theory and simulations. Due to the relatively high threshold energy for the effective generation of $\updelta$-electrons at surfaces, their dynamics are closely connected and entangled with the dynamics of the ion-induced SEs ($\upgamma$-electrons). Thus, a fundamental understanding of the electron dynamics has to be achieved on a nanosecond timescale, and the effects of the different electron groups have to be segregated. This work utilizes $1d3v$ Particle-in-Cell/Monte Carlo Collisions (PIC/MCC) simulations of a symmetric discharge in the low-pressure regime ($p\,=\, 1\,\rm{Pa}$) with the inclusion of realistic electron-surface interactions for silicon dioxide. A diagnostic framework is introduced that segregates the electrons into three groups (``bulk-electrons'', ``$\upgamma$-electrons'', and ``$\updelta$-electrons'') in order to analyze and discuss their dynamics. A variation of the electrode gap size $L_\mathrm{gap}$ is then presented as a control tool to alter the dynamics of the discharge significantly. It is demonstrated that this control results in two different regimes of low and high plasma density, respectively. The fundamental electron dynamics of both regimes are explained, which requires a complete analysis starting at global parameters (e.g., densities) down to single electron trajectories.
... Capacitively coupled radio frequency (CCRF) discharges are used in many industrial processes such as etching and sputtering [1][2][3]. ...
Preprint
Full-text available
In capacitively coupled radio frequency (CCRF) discharges, the interaction of the plasma and the surface boundaries is linked to a variety of highly relevant phenomena for technological processes. One possible plasma-surface interaction is the generation of secondary electrons (SEs), which significantly influence the discharge when accelerated in the sheath electric field. However, SEs, in particular electron-induced SEs ($\updelta$-electrons), are frequently neglected in theory and simulations. Due to the relatively high threshold energy for the effective generation of $\updelta$-electrons at surfaces, their dynamics are closely connected and entangled with the dynamics of the ion-induced SEs ($\upgamma$-electrons). Thus, a fundamental understanding of the electron dynamics has to be achieved on a nanosecond timescale, and the effects of the different electron groups have to be segregated. This work utilizes $1d3v$ Particle-in-Cell/Monte Carlo Collisions (PIC/MCC) simulations of a symmetric discharge in the low-pressure regime ($p\,=\, 1\,\rm{Pa}$) with the inclusion of realistic electron-surface interactions for silicon dioxide. A diagnostic framework is introduced that segregates the electrons into three groups ("bulk-electrons", "$\upgamma$-electrons", and "$\updelta$-electrons") in order to analyze and discuss their dynamics. A variation of the electrode gap size $L_\mathrm{gap}$ is then presented as a control tool to alter the dynamics of the discharge significantly. It is demonstrated that this control results in two different regimes of low and high plasma density, respectively. The fundamental electron dynamics of both regimes are explained, which requires a complete analysis starting at global parameters (e.g., densities) down to single electron trajectories.
... Many researchers focused on the nonlinear PSR oscillation by dc selfbias caused by EAE based on the nonlinear global model. [41][42][43][44][45][46][47][48][49][50][51][52][53] The discharge homogeneity is controlled via the PSR oscillation by reducing the total number of harmonics. The PSR oscillation is controlled by dc self-bias caused by EAE by tuning the phase shifts in CCP. ...
Article
The high-order harmonics caused by the self-excitation of nonlinear plasma series resonance (PSR) in a geometrically symmetric (8 + 100) MHz capacitively coupled argon plasma are studied by using a nonlinear global model. The voltage waveforms and current waveforms measured by oscilloscope between the two electrodes are used to observe the harmonics. The voltage waveforms are used as input parameters for the nonlinear global model to simulate harmonics of the sheath charges of low-frequency electrode, bias voltage, and plasma RF current. These discharged parameters considering coupling effect between these two frequencies and without considering coupling effect are compared in (8 + 100) MHz capacitively coupled plasma with the increasing low-frequency powers at a pressure of 30 mTorr. These results indicate that the theoretical discharge behavior without considering the coupling effect has big difference from the real experiment. The PSR effect of the matching network plays an important role in modulating the plasma homogeneity. Therefore, how to design a suitable matching network to enhance the PSR effect is more meaningful for the nonlinear dynamics of plasma.
... The gas flow and pressure are set to 40 sccm and 1 Pa, respectively. More detailed information about the vacuum and gas handling systems can be found elsewhere [29,43,44]. The upper electrode is powered simultaneously combining 27.12 MHz excitation frequency and pulsed low-frequency signals. ...
Article
Full-text available
Capacitively coupled plasmas are routinely used in an increasing number of technological applications, where a precise control of the quantity and the shape of the energy distribution of ion fluxes impacting boundary surfaces is required. Often times, narrow peaks at controllable energies are required, e.g. to improve selectivity in plasma etching, which cannot be realized in classical discharges. We combine experimental ion flux-energy distribution measurements and PIC/MCC simulations to provide insights into the operation and ion acceleration mechanisms for discharges driven by square-shaped tailored voltage waveforms composed of low-frequency (100~kHz) pulsed and high-frequency (27.12~MHz) signals. The formation of ion flux-energy distributions with a narrow high energy peak and strongly reduced ion fluxes at intermediate energies is observed. The position of the high energy peak on the energy axis can be controlled by adjusting the low-frequency voltage pulse magnitude and duty cycle. The effects of tailoring the driving voltage waveform by adjusting these control parameters as well as its repetition rate on the plasma operation and the ion flux-energy distribution are analyzed in depth. We find, e.g., that the duty cycle regime (<40% or >60%) determines if the high energy ions form at the grounded or the powered electrode and that the duration of the pulse must exceed the ion energy relaxation time, on the order of 0.5 us.
... The plasma source has already been described in detail in other publications. 29,46,47 The essential part of this large-area dualfrequency CCP apparatus is a stainless steel rectangular vacuum chamber with an edge length of 800 mm and a height of 650 mm. The gap between the upper powered electrode and the lower grounded electrode is set to 75 mm. ...
Article
A calibration routine is presented for an array of retarding field energy analyzer (RFEA) sensors distributed across a planar electrode surface with a diameter of 450 mm that is exposed to a low temperature plasma. Such an array is used to measure the ion velocity distribution function at the electrode with radial and azimuthal resolutions as a basis for knowledge-based plasma process development. The presented calibration procedure is tested by exposing such an RFEA array to a large-area capacitively coupled argon plasma driven by two frequencies (13.56 and 27.12 MHz) at a gas pressure of 0.5 Pa. Up to 12 sensors are calibrated with respect to the 13th sensor, called the global reference sensor, by systematically varying the sensor positions across the array. The results show that the uncalibrated radial and azimuthal ion flux profiles are incorrect. The obtained profiles are different depending on the sensor arrangement and exhibit different radial and azimuthal behaviors. Based on the proposed calibration routine, the ion flux profiles can be corrected and a meaningful interpretation of the measured data is possible. The calibration factors are almost independent of the external process parameters, namely, input power, gas pressure, and gas mixture, investigated under large-area single-frequency capacitively coupled plasma conditions (27.12 MHz). Thus, mean calibration factors are determined based on 45 different process conditions and can be used independent of the plasma conditions. The temporal stability of the calibration factors is found to be limited, i.e., the calibration must be repeated periodically.
... The pressure inside the chamber during the measurements is monitored by a capacitive gauge (MKS 6274BX01) and is varied between 2.25 mTorr and 19.5 mTorr. More detailed information about the experimental system can be found elsewhere [41,42]. The upper electrode is powered using two excitation frequencies: a LF, which is varied in the range of ∼ 100 kHz − 1 MHz and a fixed HF of 27.12 MHz. ...
Article
Full-text available
Low-pressure (<10 s mTorr) multi-frequency capacitively coupled plasmas (CCPs) are essential for critical plasma processing applications such as high aspect ratio dielectric etching for 3D memory fabrication. As the processing requirements become more stringent for future microelectronics technologies, plasma simulations are being used to help design industrial CCPs with the goal of accurately controlling the ion energy and ratio of ion to radical flux. Experimental validation is critical for developing trust-worthy plasma models. In this paper, a 1D particle-in-cell (PIC) model is used to simulate the ion kinetics and sheath dynamics in low pressure (a few to 10 s mTorr) dual-frequency (100 s kHz to 10 s MHz) Ar CCPs. Experimental results are compared to the 1D PIC model over a range of conditions. With pressure as low as 2 mTorr, a double-peak IEDF is predicted by the model; as the pressure increases to 20 mTorr, the double-peak IEDFs gradually shift to an IEDF with a strongly depleted high energy tail due to the higher ion-neutral collision frequency. The high energy peak of the bimodal IEDFs shifts to higher energy with increasing low-frequency voltage while the low energy peaks remain unmoved. When the low-frequency increases, the width of the IEDFs reduces, and new peaks at low energy emerge. The IEDFs from the PIC modeling results agree well with measurements.
... The latter is more commonly seen as a dual frequency CCP [10][11][12][13][14], i.e. the two RF voltages are supplied to the same electrode. When the frequencies are harmonics of the same frequency and are phase synchronized, then the electrical asymmetry effect (EAE) occurs [15][16][17][18][19][20][21][22]. An extension of this type of CCP is the voltage tailoring where more than two harmonics of the RF frequency are used [23]. ...
Article
Full-text available
This paper is the first from a set of two companion papers on radio-frequency (RF) discharges. These two papers are in turn part of a larger series on the foundations of plasma and discharge physics. In this part we cover the basics of non-magnetized capacitive and inductive RF discharges, introduce the main concepts related to them and provide reference literature for further reading. In the second part we concentrate on RF discharges in the presence of external magnetic field. These types of RF discharges find a wide range of applications in various industries. Among the most prominent examples are the microelectronics industry for etching and deposition of thin films, the medical and food industry for the application of various coatings and changing the wettability of surfaces, the space industry to power ion-gridded thrusters for satellites, the fusion and elementary particle research for the production of beams of energetic ions or atoms. The paper introduces the basic concepts of RF power deposition and describes in more detail the operating conditions of the plasma reactors. The most important physical phenomena encountered in these discharges are outlined through the use of simplified models. The paper is intended as an entry point for newcomers to the field and provides ample of references (including textbooks) for further reading on the more specific and/or subtle aspects of the operation of these types of RF discharges.
Article
Full-text available
The electrical asymmetry effect in a spherical, geometrically asymmetric capacitive argon discharge driven by two consecutive harmonics is investigated using particle in cell simulations and analytical modeling. We find that the discharge asymmetry can be reduced electrically by tuning the phase shift between the driving frequencies, i.e., the absolute value of the dc self-bias voltage can be completely reduced and the mean ion energies at both electrodes can be adapted. (C) 2011 American Institute of Physics. [doi:10.1063/1.3544541]
Article
Full-text available
The influence of the relative phase between the driving voltages on electron heating in asymmetric phase-locked dual frequency capacitively coupled radio frequency plasmas operated at 2 and 14 MHz is investigated. The basis of the analysis is a nonlinear global model with the option to implement a relative phase between the two driving voltages. In recent publications it has been reported that nonlinear electron resonance heating can drastically enhance the power dissipation to electrons at moments of sheath collapse due to the self-excitation of nonlinear plasma series resonance (PSR) oscillations of the radio frequency current. This work shows that depending on the relative phase of the driving voltages, the total number and exact moments of sheath collapse can be influenced. In the case of two consecutive sheath collapses a substantial increase in dissipated power compared with the known increase due to a single PSR excitation event per period is observed. Phase resolved optical emission spectroscopy (PROES) provides access to the excitation dynamics in front of the driven electrode. Via PROES the propagation of beam-like energetic electrons immediately after the sheath collapse is observed. In this work we demonstrate that there is a close relation between moments of sheath collapse, and thus excitation of the PSR, and beam-like electron propagation. A comparison of simulation results to experiments in a single and dual frequency discharge shows good agreement. In particular the observed influence of the relative phase on the dynamics of a dual frequency discharge is described by means of the presented model. Additionally, the analysis demonstrates that the observed gain in dissipation is not accompanied by an increase in the electrode's dc-bias voltage which directly addresses the issue of separate control of ion flux and ion energy in dual frequency capacitively coupled radio frequency plasmas.
Article
The rotational state distributions in the product molecules for collisions of metastable Ar with nitrogen are determined. The fluorescence spectra of N2*C→B emitted at the intersection of supersonic molecular beams of the reactant species is analyzed. (AIP)
Article
Large-area capacitive discharges driven at frequencies higher than the usual industrial frequency of 13.56 MHz have attracted recent interest for materials etching and thin film deposition on large-area substrates. Standing wave and skin effects can be important limitations for plasma processing uniformity, which cannot be described by conventional electrostatic theory. An electromagnetic theory is developed for a discharge having two plates of radius R and separation 2l, which accounts for the propagation of surface and evanescent waves from the discharge edge into the centre and the role of capacitive and inductive fields in driving the power absorption. Examples of discharge fields are given having substantial standing wave and/or skin effects. The conditions for a uniform discharge without significant standing wave and skin effects are found to be, respectively, 0>>2.6(l/s)1/2Rand >>0.45(dR)1/2, where 0 is the free space wavelength, s is the sheath width, c/p is the collisionless skin depth, with c the speed of light and p the plasma frequency, and dl-s is the plasma half-width. Taking the equality for these conditions for a discharge radius of 50 cm, plate separation of 4 cm, and sheath width of 2 mm, there is a substantial skin effect for plasma densities 1010 cm-3, and there is a substantial standing wave effect for frequencies f70 MHz.
Article
A Thorough Update of the Industry Classic on Principles of Plasma Processing The first edition of Principles of Plasma Discharges and Materials Processing, published over a decade ago, was lauded for its complete treatment of both basic plasma physics and industrial plasma processing, quickly becoming the primary reference for students and professionals. The Second Edition has been carefully updated and revised to reflect recent developments in the field and to further clarify the presentation of basic principles. Along with in-depth coverage of the fundamentals of plasma physics and chemistry, the authors apply basic theory to plasma discharges, including calculations of plasma parameters and the scaling of plasma parameters with control parameters. New and expanded topics include: Updated cross sections Diffusion and diffusion solutions Generalized Bohm criteria Expanded treatment of dc sheaths Langmuir probes in time-varying fields Electronegative discharges Pulsed power discharges Dual frequency discharges High-density rf sheaths and ion energy distributions Hysteresis and instabilities Helicon discharges Hollow cathode discharges Ionized physical vapor deposition Differential substrate charging With new chapters on dusty plasmas and the kinetic theory of discharges, graduate students and researchers in the field of plasma processing should find this new edition more valuable than ever.
Article
Frequency coupling in multifrequency discharges is a complex nonlinear interaction of the different frequency components. An alpha-mode low pressure rf capacitively coupled plasma operated simultaneously with two frequencies is investigated and the coupling of the two frequencies is observed to greatly influence the excitation and ionization within the discharge. Through this, plasma production and sustainment are dictated by the corresponding electron dynamics and can be manipulated through the dual-frequency sheath. These mechanisms are influenced by the relative voltage and also the relative phase of the two frequencies.
Article
A typical planar inductively coupled plasma source was characterized by means of several diagnostic methods. Langmuir probe measurements as well as quantitative spectroscopic methods were used in order to determine electron density, mean electron energy, electron energy distribution functions, and the neutral particle temperature. A model based on hydrodynamic balance equations has been developed and used to predict parameters which cannot be determined by direct measurements. As the agreement of experimental and simulated data is excellent, the overall discharge efficiency, influences of substrate holder, fluxes as well as energies, and incident angle of ions on the substrate were determined. © 2001 American Institute of Physics.
Article
We report on relative and absolute intensity calibrations of a modern broadband echelle spectrometer (type ESA 3000® trademark of LLA Instruments GmbH, Berlin) for use in the diagnostics of low-temperature plasma. This type of device measures simultaneously complete emission spectra in the spectral range from 200 to 800 nm with a spectral resolution of several picometres by using more than 90 spectral orders, causing a strongly structured efficiency function. The assumptions and approximations entering the calibration procedure under these conditions are discussed in section 3. For coping with the strongly structured efficiency function a continuum light source is needed, which covers the entire spectral range. Furthermore, the variation of its intensity must be low enough to ensure that neither statistical errors perturb the calibration in regions with low photon flux and/or low efficiency, nor local memory overflow in regions with high photon flux or high efficiency. In our case this requires that during calibration over the whole spectral range of the spectrometer the counts per pixel in one measurement vary at highest by a factor 10 to 12. Usual broadband light sources do not meet this latter requirement. We, therefore, use an uncalibrated 'composite' source, an adjustable combination of a standard tungsten strip lamp and a deuterium lamp, and calibrate the spectrometer in a two-step process against the tungsten strip lamp and well-known rovibrational intensity distributions in the emission spectra of NO and N2. We adjust the composite source in a way to produce a perturbation-free first approximation of an (uncalibrated) efficiency function, which is then corrected and thus calibrated by comparison with the (secondary) standards mentioned above. For absolute calibration we use the tungsten strip lamp. The uncertainty attained in this way for the relative calibration depends on the wavelength and varies between 5% and 10%. For the absolute calibration we obtained an uncertainty of 12%. We further discuss problems caused by the non-uniform spectral efficiency and dispersion of the spectrometer, which complicate the calibration procedure.
Article
The argon positive column has been investigated by means of a complementary diagnostic approach, which combines the CW laser collisionally induced fluorescence (LCIF) technique with kinetic models for the excited-state populations and emission line ratios. The conditions ranged from pressures of 0.30-8.0 Torr and currents of 1-10 mA, equivalent to reduced axial electric fields of 1.7-53 Td. Fitting theory to experimental observations of the 1s densities and 2p-1s emission intensities allows determination of the electron temperatures relating to the high-energy electrons in the tail of the electron energy distribution function. The tail temperatures vary from 0.9 to 1.9 eV over the range of conditions investigated. Examination of CW LCIF for 1s5-2p2 (696.5 nm) laser excitation along with the traditional optical absorption and emission techniques provides specific information on the collisional kinetics involving the metastable states.