Science topic
VHDL Programming - Science topic
Explore the latest questions and answers in VHDL Programming, and find VHDL Programming experts.
Questions related to VHDL Programming
Hello Everyone, I want to perform division operation in Verilog - HDL. Please suggest me an algorithm for division in which the clock cycle taken by division operation is independent on input. That is for division of any number a (a can be any number) by b(b can be any number),same number of clock cycle wil be taken by division operation for different set of a and b.
According to the number of Input and outputs ports of the FPGA chip we have, can we add (implement) any number of ethernet (100base) RJ45 and Fibre LC connector to the FPGA? What is the way to do that?
Also how can I also implement the following protocol into the FPGA; DNP3 , GOOSE and MODBUS?
which tools or libraries are using for these protocols on FPGA?
and what is best affordable cheap FPGA development board to buy with Ethernet Rj45 and Fibre LC connector SFP
Field programmable gate arrays (FPGAs) have been constantly used for embedded systems in various applications, such as inverters, medical appliances, etc. The reverse engineering in the FPGA is a way of re-configurating the netlist inside the FPGA in various ways. There are some known tools such as Debit, BIL, and Bit2ncd that could be especially used for Xilinx products. However, these are still under developmental phase. Are there more such products available for stable use? What if non-Xilinx products such as from Intel Altera FPGA's are adopted for use?
Suppose A is a 'tri'. A is being connected to the output of a module which is instantiated twice,in both the instances. In one instance the value of A will be 1'bz and in the other it will be 1'b1.What will be the A's value? Could you provide the reference in any textbook like Samir Palnitkar or the other?
I am looking for an open source alternative for HDL Simulators such as Modelsim Student Edition (limited version). Any suggestions??
I want jpg to bmp converter module in my vhdl project.
How can i write this? and where should i started?
I came across this idea via this blogsite
I need a VHDL program to read images and then XOR between them by using Altera kit.
I need to know about a detection method called two array processing in which two arrays are receiving data from a particular signal source.
I wanted to know about the detector design procedure.
any advice or references would be appreciated.
a figure is attached below.
I'm new in verilog, please help me!
My pattern is 8*8.
I tried using the HDL coder toolbox in Matlab. After conversion to VHDL the data type is real. I am getting an error because real is not able to synthesize in VHDL. Can anyone help me?
Does anyone know how to do this or have a useful link? I have tried downloading it from Mathworks but I can't seem to download it.
Dear all,
Hello
I have a question on "Online" VS "Offline" learning methods. In my thesis I have already simulated an "Online" method to train an RBM to develop a deep model (a DBN network) which is consisted of LIF neurons and uses spikes to train and test the model.
In my simulation with "Online" I mean that the model uses same platform for train and test. Indeed the model:
- Can be trained for any type of applications.
- The weights will be adjusted during the train process with input training spikes.
- and, in contrast with the "Offline" method which uses the trained weights (that have been adjusted and transferred to the test platform), the "Online" method uses same network for train and test.
Here, I want to know what the project means with "Online"? (Dose it mean same as what I have done in my thesis?)
Thank you very much.
Sincerely yours,
Mazdak Fatahi
I am trying to Implement the design to detect the pulse and according to that measure the frequency of that signal. But I am just in learning phase of VHDL coding, So I am having trouble in this. Any answers related to the topic are Welcomed. Thank you.
I'm using 6:2 multiplier in my project. I know about 2:1 mux but i don't have any idea about 6:2 mux. so can any one help me for this?
I programmed a sample VHDL program:
library ieee;
use ieee.std_logic_1164.all;
entity notgate is
port(
a : in std_logic;
z : out std_logic
);
end entity;
architecture behave of notgate is
begin
if (a = '1') then
z <= '0';
else z <= '1';
end if;
end behave;
But it can not be synthesized. If I use process and set a as a sensitivity signal, the it can be synthesized.
library ieee;
use ieee.std_logic_1164.all;
entity notgate is
port(
a : in std_logic;
z : out std_logic
);
end entity;
architecture behave of notgate is
begin
process(a)
begin
if (a = '1') then
z <= '0';
else z <= '1';
end if;
end process;
end behave;
I think these two methods realize a same circuit, I do not know what is the different between them.
I am coding 8 point DIT FFT on VHDL. I am using 16 bit binary to represent numbers. But declaring complex numbers is problematic. How to declare complex numbers?
i have a block in vhdl that produces an output of 8 bits (from 00 to FF) 00 represents value of -.125 and FF represents value of .125
i want to add this value for another block as duty cycle step however the block has 16 bit duty values where 0000 represents 0 and FFFF represents 1
how can i interface both blocks
I have a data for a material including its n(refractive index) and k(extinction coefficient) values in an .xslx file. I need SILVACO to pick those nk values while it is simulating. But when i try to use this file in SILVACO it says that "Error: Read error in index file Optical_Properties_Of_InP.xlsx. Check format."
Any help.
I am trying to design a Wallace tree using VHDL programing in Xilinx 13.4 software. I have completed my structural type VHDL programing. When we implement this programing on XILINX 13.4 design suit software then we saw the RTL view and there is no error in programing. But in this programing we used half adder and full adder as a PORTMAP. In XILINX 13.4 design suit software this half adder and full adder is not added so we not get the simulation result (Wave form).
I have used transformer(even I have tried with ideal_balun available in analog library of Cadence) at the differential output and terminated with a port . I have measured output impedance of the differential output and same thing set at output port.(i.e K times where K is turns ratio.) . The problem is compare normal measurement (i.e vout/vdiff) gain is falling by almost 10 dB.....i.e S21 is around only 4 dB instead of 14 dB..
Thanks in advance....
I've been working with VHDL programming since a long time. And I want to know a good methodology of programming complex algorithms in specially machine learning, signal processing.
this line is not working properly in my VHDL code
half_duty_new <= conv_integer(duty)*period/(2**bits_resolution)/2;
does it need a certain library ?
Hello Everyone,
I am writing a code where i am giving the 1000 input values. After processing i am getting the output result. Now i want to use that output results as input. Need suggestions
Hello! I am trying to simulate Pspice netlist in t-spice. I am using a mosfet and a subcircuit. The problem is, I get an error stating:
"syntax error, unexpected unary function call, expecting ) (right paren) or device or node name"
the line of code in which the error is popped is:
.FUNC ROUND(x) { x-IF(cos(PI*x)>0,arcsin(sin(PI*x))/PI,-arcsin(sin(PI*x))/PI) }
What exactly am I doing wrong here? And if someone does not have a direct answer, can you please tell me where can I find more information about these functions? Thank you in advance.
I need to compare received image with stored image using FPGA kit. For that need HDL coding.How can code to compare two images in HDL. Any other way is available to compare images?
i implemented a function for comparison using this algorithm is there any simpler method for implementation
function maximum6(a, b,c ,d ,e ,f: std_logic_vector) return std_logic_vector is
variable max: std_logic_vector(7 downto 0) := (others => '0');
variable max1: std_logic_vector(7 downto 0) := (others => '0');
variable max2: std_logic_vector(7 downto 0) := (others => '0');
variable max3: std_logic_vector(7 downto 0) := (others => '0');
variable maxf: std_logic_vector(7 downto 0) := (others => '0');
begin
if a > b then max := a;
else max := b;
end if;
if c > d then max1 := c;
else max1 := d;
end if;
if e > f then max2 := e;
else max2 := f;
end if;
if max > max1 then max3 := max;
else max3 := max1;
end if;
if max2 > max3 then maxf := max2;
else maxf := max3;
end if;
return maxf;
end maximum6;
I am working on chemical sensitive transistor using PCDTBT as active layer with NO2 as analyte.
PCDTBT is p type conductive polymer. NO2 is oxidising in nature. So in the presence of test gas, drain current increases at a fixed VDS and VGS.
Larger the VGS, more is the accumulation of charge carriers in the channel. That means the base current of sensor is increasing with increase in VGS.
We are keeping the gas concentration fixed as we want to see the effect of gate voltage.
The charge carriers generated by test gas should be the same for every VGS.
So with increase in gate voltage, response percentage ((Ig-Ia)/Ia) should fall.
Ig =drain current in presence of gas
Ia=drain current in air (no test gas)
What I am getting is not this trend.It is showing some zigzag behaviour at lower voltages.
I am geting the error Port a in top design unit dec24 is unconstrained
pls help
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity dec24 is
port(
a : in STD_LOGIC_VECTOR;
E : in STD_LOGIC;
d : out STD_LOGIC_VECTOR(3 downto 0)
);
end dec24;
architecture behavioral of dec24 is
begin
process (a)
begin case a is
when "00"=> d<="0001";
when "01"=> d<="0010";
when "10"=> d<="0100";
when others=> d<="1000";
end case;
end process;
end behavioral;
Our project aims at studying the error-correcting code LDPC (low density parity check) .
It is composed mainly of two parts : First, it is about a comparative study in terms of the structure of the parity matrix (both gallager and mackay) , the algorithm used (whether it is sum-product, min-sum, or normalized min-sum) and also the length of the departure message and the iteration number used in the algorithms. All these will be achieved using Matlab environment. The second part will be devoted to write a simple VHDl program so that we be able then to implement our decoder LDPC on a FPGA card.
I know VHDL and did some projects, I am familiar with the hardware design concepts. What i need is a reference book in which i can get the rules in Verilog
something like
It is not possible to assign a wire data type inside always.
All ports are defined as reg data types.
Both Bluespec and VHDL are used for synthesis. But is there any domain in which a choosing Bluespec would be useful than VHDL?
the aim is to produce an optimal electronic circuit for cheap from a VHDL programe (not using the MOD FPGA)?
thank you for answer
I used the xpower analyzer to estimate both dynamic and quiescent power consumption of my design, but when I performed it for different codes, I got the same value for all. I did the .pcf and .saif files uploads, but I don't know how to upload the settings file, i.e. I don't know how to generate the settings file. Am I missed some part of the procedure?
Hi,
A part of my project in Signal Processing is to generate a sine signal with the cordic algorithm in VHDL and put the VHDL Code on a board and test it. Do somebody have an idea for a VHDL code example?
Thanks a lot
Any EDA Vendor or IC Designer that might have started working on creating hardware accelerators for Internet of Things.
Port mapping refers to the concurrent statements and process refers to the sequential statement. If there is a data dependency between the blocks of the concurrent statements, each block itself is a concurrent statement and the entire flow becomes sequential because each block output goes to the input of the next block. In that case why can't we include these concurrent statements inside the process? I am confused and I don't know the reason for this.
I am using Xilinx ISE 12.2 version. Even after implementation, .ncd file is not available in the project folder. I want to know whether it is because of any setting changes? Do any of you face the same problem... Help me clarify this doubt...
I need to divide a 32 bit random number into two 16 bit random numbers to generate a lognormal distributed clutter. A block diagram is shown in the file below.
I am using the FPGA for image processing related projects. A microblaze processor is used inside the FPGA but I don't see any specification regarding that in datasheet which I have now.
Use of real numbers like 2.34 in vhdl - what is the technique to convert in fixed point type?
I am facing a problem in VHDL via ModelSim. It is an error in my if-statement. if ((s(0) = c(0)) AND (NOT(x1(0)))) THEN I:= (others => '0'); end if; Here is my if-statement and the error is: "No feasible entries for infix operator "and"." But, after I tried to check the program I realized that the problem is with using (not gate). Maybe, there is another way of using it in vhdl. Could anyone help? I mean the first condition is Boolean and the next is std_logic operation.
I am working on project of FPGA Based Face recognition.
I started my program by writing subprograms as functions in VHDL. Then I have tested them separately, and all of those subprograms worked fine. Fortunately, when I combined them and started my primality testing, I found that my program was performing well, but for certain number, the program began to output all the results as composite. I was just wondering what problems might cause this bug. By the way, my program was designed to be 32-bit primality testing. However, the program work fine until I test the numbers above 46399. Then all prime and composite numbers outputted the same result as "composite". This really confused me. Please, need your help.
I used x signal as one of the input signal in one verilog module, but during instantiation I used this signal as wire signal. But in top module, x is as wire signal. Can I give x signal as input signal and do simulation?
I tried like this, but its showing that x is not i/o port in top module.
I want to give signal to x port and check for some output port which is also wire signal in top module, is it possible in verilog simulation?
I know its 16 bit * 16 bit multiplier, but I want to know about its functionality in detail.
Any link to know more about ISCAS circuits.
Its regarding fpga based implementation of eye tracking system.
If there is possibility, then can we write/call the program directly inside simulink library file. Or is it necessary to install third party toolbox or add-on in order to allow it?
I need any document or flow related to this topic.
Seeking to interface samsung 313A CCD camera to the Spartan 3E kit
I need this for implementation purpose using ALTERA FPGA Kit
Can anyone please suggest to me how to measure the on chip FPGA power consumption? I have made a design and analysed the power with Xpower analyser (xilinx tool).
I would like to check how much power it is actually consuming, after loading the design on to FPGA.
This new design would assure that a multiplication would be computed on a multiplier that has been optimized in terms of power and delay for a specific bitwidth
I just started to implement my system (OFDM transmitter and receiver) using altera DE1 cyclone II board. In fact, I need advises as first request and I need a VHDL code (or verilog but VHDL is more important for me) for the RS-232 DB9 serial port. I found many codes using google but I need a standard code and simple where I can communicate with its author for explanation.