Science topic
Microelectronics Engineering - Science topic
Explore the latest publications in Microelectronics Engineering, and find Microelectronics Engineering experts.
Publications related to Microelectronics Engineering (150)
Sorted by most recent
This Is My Thesis For The Degree Of M.S In Electrical & Microelectronic Engineering
This is a book on working, one of the sections: 4.11. A beautifully written essay on the topic of "change-of-mindset".
Metal silicide, as a contact material, has played a vital role in advancing the performance of electronic devices due to its excellent electrical properties and thermal stability. As the device dimension is constantly reduced, precise understanding of the scaling behaviour of the silicide materials as well as silicide-Si interfaces is very crucial...
The present study elaborates that Nanotechnology is most emerging subject day by day most of the research taken place in this subject from the year 2000-2016 the highest number of articles were contributed in the field of nanotechnology was featured in the year 2016, while lowest number of articles were found in the year 2000 i.e. 30 articles (0.38...
III-Nitride vertical-cavity surface-emitting lasers are challenging to achieve due to the difficulties involving incorporation of mirrors [1,2]. Whispering gallery mode laser has been suggested to overcome this challenge. Low-threshold laser in InGaN/GaN nanoring has been demonstrated on single nanoring with a diameter of 950 nm and a width of 80 n...
Total Reflection X-Ray Fluorescence Analysis is an established technique for the quantitative elemental analysis of small amounts of solutions or suspensions. The technique offers high sensitivity and is considered not to suffer significantly from matrix effects. Quantitative analysis is typically carried out by internal standardization. This enabl...
The author explores one of the most urgent problems of modern education – formation of professional and creative skills of future engineers in the modern market requirements and regulations. The author makes analysis of professional standards "Engineer-technologist for the production of microelectronics", "Engineering design and support of integrat...
The author explores one of the most urgent problems of modern education – formation of professional and creative skills of future engineers in the modern market requirements and regulations. The author makes analysis of professional standards "Engineer-technologist for the production of microelectronics", "Engineering design and support of integrat...
A decision tree is one of the techniques in data mining for prediction. Using this method, hidden information from abundant of data can be taken out and interpret the information into useful knowledge. In this paper the academic performance of the student will be examined from 2002 to 2012 from two faculties; Faculty of Manufacturing Engineering an...
Currently, the problem-based learning (PBL) approach at higher learning institutions has been widely implemented in many education fields including engineering in order to enhance knowledge, skills and attitude. In this report, the concept of PBL using a module known as 5 Ladders of Active Learning, has been utilized and used (to a certain extent)...
Because of its mechanical properties PDMS (Polydimethylsiloxan) is highly interesting as a flexible substrate for nanostructures. Under ambient conditions a PDMS film with a thickness of 200 µm can be elastically stretched up to 170% [1].
The PDMS substrate is prepared by mixing a base and an agent. After the air bubbles diffused out of the mixture...
Ternary rare-earth oxide LaLuO3 shows clear experimental evidence of being one of the most perspective gate dielectrics for application in upcoming MOSFET technology [1]. On the one hand, these oxides are thermally stable in the amorphous phase and possess high permittivity, and, on the other hand, complex composition of the oxide leads to more het...
Export Date: 10 March 2013, Source: Scopus, doi: 10.1108/13565361311298187, Language of Original Document: English, Correspondence Address: Retnasamy, V.; School of Microelectronic Engineering, Universiti Malaysia Perlis, Pauh Putra, Malaysia; email: vc.sundres@gmail.com, References: Beer, F.P., Johnston Jr., E.R., John, T., Dewolf, J.T., (2006) Me...
The Microelectronic Engineering Faculty at Rochester Institute of Technology have been engaged in two day K-12 Teacher forums addressing the engineering and fabrication of semiconductor devices for 12 years. A common theme that has emerged is the difficulty teachers have introducing new topics, such as those presented at the above-mentioned forums,...
The Department of Microelectronic Engineering at Rochester Institute of Technology received NSF Department Level Reform (DLR) planning and implementation grants in 2003-04 and 2005-10, respectively. The primary mission of these efforts was to evaluate and develop educational initiatives towards nanotechnology aligned with recommendations from the i...
Malaysia is well known for its rapid development. Simultaneously, its need for the microelectronics field growth at a tremendous rate. Therefore, the academic programs offered at the tertiary education in Malaysia must be able to meet this demand, and at the same time, meet international standard. The School of Microelectronic Engineering at Univer...
Rochester Institute of Technology started the nation's first Bachelor of Science program in Microelectronic Engineering in 1982. The program has kept pace with the rapid advancements in semiconductor technology, sharing 25 of the 40 years characterized by Moore's Law. The program has constantly advanced its integrated circuit fabrication laboratory...
Significant change in Malaysia's Microelectronic industry at the turn of the century has created new challenges for the institutions in the region. To cater for the resulting workforce market changes, the development and implementation of a new four year Bachelor of Engineering in Microelectronic Engineering curriculum at the Kolej Universiti Kejur...
Writing Nano-imprint Templates by Shaped E-beam Lithography
Dirk Beyer, Peter Hudek, Olaf Fortagne, Timothy Groves, Juergen Gramss
Leica Microsystems Lithography GmbH, Goeschwitzer Str. 25, D-07745 Jena, Germany
Imprint lithography is nowadays discussed as a promising technique to reduce the costs and at the same time to increase the throughput o...
This document was authored by the IFIP-IFAC Task Force
Chair: Peter Bernus Griffith University
Vice Chair: Richard Weston Loughborough University of Technology UK
Members:
Dr. Ch Leong Ang GINTIC Institute of Manufacturing Technology Nanyang Technological University Singapore
Dipl. Ing. M Avram Institutul de Cercetari si Proiectari Automatizari...
The School of Microelectronic Engineering at Griffith University
graduated its first engineers at the end of 1993. One of the two majors
offered to students is Communications Engineering which includes the
subject “Numerical Techniques in Electromagnetics and
Communications”. Exercises and assignments in this course are PC
based. This paper describ...
The novel concept of anode-free lithium batteries (AFLBs), which eliminate excess lithium while preserving numerous advantages, presents certain challenges such as notable capacity loss, low electronic conductivity, and reduced coulombic efficiency. To address these issues, modified lithiophilic current collectors are essential for enhancing electr...
As the technology of quantum computing continues to develop, the necessity of finding materials that can be used to integrate the superconducting 3D architecture becomes more essential ¹ . The electrodeposition of metals demonstrates an attainable way to manufacture vertical superconducting interconnects. In particular, Sn is one of the promising c...
Keywords: physical damage, surface, cracks, defect revelation
Introduction
More than one third of process operations in integrated circuits manufacturing rely on Wet cleans. They’re used to remove contaminants such as particles which are a dies’ yield killer. This is achieved either by a chemical action lifting the particles at the cost of a signif...
Silicon carbide (SiC) is a wide bandgap semiconductor that steadily replacing the application of conventional semiconducting materials such as silicon-based devices. Its superior properties such as larger bandgap, higher electron saturation velocity and thermal conductivity [1, 2] enables devices to be more effective and efficient with smaller volu...
We are witnessing a galloping development of digitization across the planet, which only exists thanks to physical objects based on microelectronics. The meteoric growth in the amount of data processed is leading to exponential growth in electricity consumption, which is driving the planet into a dead end. Solutions exist in microelectronics to redu...
The structure of the interface in metal to n-type 3C-SiC ohmic contacts has been important in determining the specific contact resistance and hence the functionality of devices. Recently, the use of Cr/Ni metallization on n-type 3C-SiC has shown an equivalent specific contact resistance to standard Ni contacts with but with an improved surface morp...
Please cite this article as: M. Hologne-Carpentier, J.-F. Mogniotte, M.-Q. Le, et al., A multi-physics approach to condition monitoring of SiC power module, Microelectronic Engineering (2021), https://doi. Abstract-Power electronics addresses an ever-growing market with challenges in many fields as in transportation and aerospace. Power electronic...
With the rapid growth of wireless communications, this book meets the strong demand for information and new research in the area of antenna, signal processing, and microelectronics engineering. Providing an interdisciplinary platform, it brings together leading academicians, scientists, and researchers to share information on innovations, trends, a...
Over the past decades, the semiconductor industry managed to continuously increase performance at an impressive, steady rate by scaling all parts of the integrated devices to nanometer-size dimensions, thereby enormously increasing the number of transistors on the chip as well as decreasing the dimensions of the metallization [1]. Presently, Cu con...
The yield of chip packaging operations is a function of the surface finish that is solderable and wire-bondable. It has been shown that the use of palladium (Pd) plated lead-frame for packaging has improved the processing cost and reliability by simplifying the process integration [1]. Pd can also be used as a sacrificial layer to protect the coppe...
Over the past decades, the semiconductor industry managed to continuously increase performance at an impressive, steady rate by scaling all parts of the integrated devices to nanometer-size dimensions, thereby enormously increasing the number of transistors on the chip as well as decreasing the dimensions of the metallization [1]. Presently, Cu con...
Ni-based films have been extensively studied owing to their potential application in several fields such as metal coatings ¹ , microelectronic devices ² , magnetic devices ³ , and catalysis ⁴ . One of the techniques to deposit Ni thin films is supercritical fluid chemical deposition (SFCD) that offers the potential for the capability of high confor...
Advances in Antenna, Signal Processing and Microelectronics Engineering
A room-temperature synthesis of the solid-state silver-nano-dumb-bell electronic sheet using an in-situ generated one-electron carboxylate ion medium is reported. The new nanomaterial was characterized using the scanning electron microscopy (SEM), X-ray diffractometry (XRD), energy dispersive spectroscopy (EDS) and cyclic voltammetry. The electroni...
There is a serious problem of poor side wall coverage for the sputtered barrier metals in high aspect ratio TSVs. We have proposed electroless plated Co-alloy barrier metals for TSV. For this purpose, we prepared various CoB and CoWB alloys with different atomic compositions and crystalline structures. Furthermore, we evaluated interdiffusion prope...
The miniaturized and thin-film energy storage devices are urgently needed for the on-chip electronic devices.[1] The selection of a suitable manufacturing method for the development of miniaturized devices is a critical step.[2-4] Here, we report laser-based direct and one step printing as a suitable method for the efficient development of such dev...
We present a low-cost scheme for non-permanent optical signal coupling for prospective application in single use photonic integrated chips. The proposed scheme exploits the use of polymer kinoform microlenses. The feasibility of the proposed solution is demonstrated by the experimental investigation of the optical signal coupling from single mode o...
It is difficult to etch Cu with a conventional plasma etching process because it does not form volatile products at room temperature. Additional energy has to be used to vaporize the Cu compound [1]. A room temperature plasma based Cu etch process was reported by the Kuo group [2-4]. The Cu thin film was converted into CuCl x or CuBr x in a RIE rea...
During the fabrication, micro-chips circuits are very sensitive to all kinds of contamination present in the environments fluid in contact with the wafers [1].
Therefore the fabrication is done in clean rooms and makes use of clean mini-environments (e.g. FOUPs). Historically such clean environments contain an extremely low concentration of particu...
Organic TFTs (OTFs) are very promising devices for flexible, wearable and printed electronics. Nowadays OTFT performances are already comparable to a-Si:H TFTs. In order to design OTFT circuits, physically-based compact models for the OTFT DC, AC and transient characteristics are needed. This requires a correct understanding of the physical effects...
The authors’ institutions are Partners in the VINMES network (Visegrad Network for Microelectronics Engineering Scientists), and they are conducting a Visegrad Fund project about “V4 Seminars for young scientists on publishing techniques in the field of engineering science”. The aims of the project are the enhancement and support the scientific pub...
In recent years, the development of three-dimensional large-scale integration (3D-LSI) has been accelerated to overcome the limitations of the classical 2D integration approach, which has begun to deviate from the ideal scaling trends ¹ . Ever increasing complexity of these novel structures that assemble a great variety of materials with distinct p...
Thermal management of microelectronics and optoelectronics devices using thermoelectric (TE) coolers is an efficient way for active temperature control, where miniaturization of the devices led to generate a high heat flux, which is difficult to manage 1, 2 . In this regard, bismuth telluride (Bi-Te) based materials have been extensively studied du...
As the copper resistivity exponentially increases in the confined geometry of nanosized interconnects 1, 2 , the state-of-the-art semiconductor industry is in the process of replacing copper with new materials ³ . Cobalt has recently evolved as one of the top candidate materials due to its shorter electron mean free path ⁴ as well as the manufactur...
Memristors, which are the fourth kind of passive element, along with resistors, inductances and capacitors, were first explicitly described in [1]. Their main characteristics are: (i) if there is no voltage across its terminals, there is no current; and (ii) the I-V curve shows a hysteresis that depends on the frequency of the forcing signal. Equat...
One of the fundamental investigations on neural interfaces for stimulation of damaged and innervated areas of the brain is focused on microelectrode arrays (MEAs). Most notably are micromachined devices of platinum electrodes insulated between polyimide, a flexible and patternable polymer.(1) Electrochemical impedance and cyclic voltammetry (CV) te...
In the field of the new and renewable energies, the competition between all the conceivable technics requires constant enhancements in term of performances, manufacturing and cost. As example, for the photovoltaic silicon solar cells, one of the first challenges is to replace the actual contact lines made by screen printed silver paste. With this t...
The today increase demand for high-performance microelectronic products requires new reliable interconnection metallization for microelectronic packaging. Copper electroplating is a cost-effective technology, mainly adopted to manufacture interconnects in integrated semiconductor devices [1-3]. In copper 3D interconnections, Damascene or Through Si...
High-k materials have been widely investigated as a new gate stack in metal-oxide-semiconductor (MOS) devices 1,2) because leakage current through the conventional SiO2 gate increases as scaling down of MOS devices. Among them, CeO 2 is one of the most noteworthy materials ³⁾ because of its high dielectric constant of 26, chemical stability and the...
CMP (chemical mechanical planarization) is a process in which both chemical and mechanical mechanisms act simultaneously to produce the planarized wafer.[1]
The wafer edge profile control is the most challenging issue in CMP process. Even much investigation has been tried to eliminate wafer edge profile control, the understanding the origins of the...
Group IV semiconductor nanowires have been investigated experimentally and theoretically for several years. Their intriguing and yet not fully understood properties and the potential for applications in nanoelectronics, spintronics, energy harvesting, production and storage, sensors, and neuroelectronics motivate this research effort. Due to the hi...
Fabrication of TSV is one of key technologies for 3D-ICs. Filling of Cu electrode materials in a small dimension of TSV is essential for realizing fine-pitch TSVs, which reduce the lisk of thermal stress concentration issues. Cost reduction is also required to be feasible TSVs for a variety of devices. All-wet fabrication of TSVs using electroless...
For fabrication of through-silicon via (TSV) of 3-D integration, sputtering or ALD are used for the barrier and seed layers formation, however, high cost processes using high vacuum instruments have hindered wide application of 3-D integration technologies. We have studied formation of barrier and seed layers with electroless plating which enables...
Memristive systems represent today a disruptive technology for the semiconductor industry with high potential for a wide range of applications ranging from non-volatile memories and non-volatile logic, to analog circuits, biomimetic devices, and neuromorphic computing paradigms. Among the general class of memristive systems, our work focus on oxide...
Methanol oxidation reaction (MOR) has been an important topic in electrochemical fuel cells [1]. However, the slow kinetics of MOR brings the bottleneck that directly limits the efficiency of direct methanol fuel cells (DMFCs). Traditionally, platinum (Pt) based alloys, e.g. PtRu, PtAu, PtCu, and etc., are used as the catalysts for MOR [2]. Aiming...
To proceed the miniaturization of electronic devices new materials and new device designs are needed. A promising candidate for future gate dielectrics are ternary rare earth oxides which exhibit permittivities up to 40. Such amorphous oxides (e.g. GdScO 3 and LaLuO 3 ) were introduced in silicon based MOSFETs and showed good performance according...
Presents recent society news from the SSCS society.
One of the key active manufacturing technologies for 3D integration is through silicon vias (TSVs), which involves etching of deep vias in a silicon substrate that are filled with an electrodeposited metal, and subsequent removal of excess metal by chemical mechanical planarization (CMP). Electrodeposition often results in undesired voids in the TS...
In this work, we present a fluorescent sensing system capable of simultaneously detecting two species during microalgal co-culture, in which microalgae ( C. vulgaris ) and cyanobacteria ( Leptolyngbya sp. ) are the target species for detection. The sensing system has two different excitation light sources for stimulating chlorophyll a in microalgae...
Over the past few years, Transition Metal Dichalgogenide (TMDC) materials have shown great potentials for next generation nanoelectronic applications. Both monolayers and van der Waals (vdW) heterostructures drawn from them have shown really interesting electronic and optoelectronic properties [1][2]. The electronic structure and properties of thes...
Preferential grain orientation of copper (Cu) vias is speculated to result in a decrease in stresses in elastically anisotropic Cu. On the other hand, highly twinned Cu microstructures are known to increase their electromigration resistance and mechanical properties, without significantly degrading electrical performance [1,2]. Therefore, the optim...
Results of laser micromachining of silicon and gallium arsenide in submillimeter scale were compared. Studies were performed using a laser beam with IR and UV wavelengths and pulses of femto- and nano-second duration. Investigation of effectiveness of micromachining with different parameters of laser beams was presented. Quality of surface and edge...
Fabrication of TSV is one of key technology for 3D-ICs. For realizing conformal barrier layers against Cu diffusion with a low temperature process is important to achieve highly reliable TSVs. Electroless plated metals such as Co-alloy and Ni-alloy are considered to have a high potential as a barrier layer.
We succeeded in conformal electroless pla...
Introduction
Silicides have been used in self-aligned processes for several generations of CMOS devices, with the aim of reducing the sheet resistance and providing stable Ohmic contacts with low contact resistance on gate, source and drain areas. ¹ To avoid bridging at the spacers and the isolation areas, after salicide formation, unreacted NiPt n...
The physical gate length L G of the Si transistors are shrinking day by day to meet Moore’s law that states the number of transistors per integrated circuit doubles in every 24 months. It is projected that L G may be down to ~20 nm. Therefore, it is urgent need to be “energy efficient” which operates with the lowest switching power. Much effort has...
As the feature size of electronic devices continues to shrink, the integrated circuit device density increases. This not only modifies the RC time delay to severely limit the device performance, but also places increased demand on Cu metallization effectiveness (1). The extremely high diffusivity of Cu in Si and its ‘killer’ effect on devices has l...
As silicon devices reach the limit of dimensional scaling there is a growing interest in the use of high electron mobility channels, such as In x Ga 1-x As, in conjunction high dielectric constant (high- k ) gate oxides for n -channel Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) in FinFET structures [1] and in 3D integration schemes...
Several architectures for ultra-scaled devices targeting classical and quantum information processing as well as chemical sensing rely on silicon and germanium nanowires (SiNWs, GeNWs). Despite the efforts in the preparation and characterization of these nanostructures some fundamental issues remain relatively unexplored. In particular the investig...
Electronic products, such as computers, smart phones, laptops and so on, need chips to perform their functions. These chips have many devices, which need to be integrated and connected with each other. To expand the functions of electronic products, more MOS have not met the requirement yet compared with more chips. Therefore, the concept of three-...
Concerning future high-performance applications, materials such as germanium (Ge) and III/V, have been extensively studied as alternative for the channel region instead of silicon. The high mobility makes Ge a promising channel material for both low power and high performance applications of advanced devices [1]. On the other hand, gate stack engin...
Fabrication of TSV is one of key technology for 3D-ICs. For realizing conformal barrier layers against Cu diffusion with a low temperature process is important to achieve highly reliable TSVs. Electroless plated metals such as CoW-alloy and NiW-alloy are widely studied as a capping layer on Cu, and they are also considered to have a high potential...
Electroplated Cu lines has been successfully used in the back-end-of-the-line (BEOL) interconnects in the IC industry for more than a decades. As the devices continue to scale in the nanometer regime, the Cu interconnects face serious challenges in achieving the resistivity required for the performance. The reason why the Cu resistivity in narrow l...
Electric field used as the substitute for ultrasounds in the liquid exfoliation of hexagonal boron nitride, Microelectronic Engineering (2014), doi: This is a PDF file of an unedited manuscript that has been accepted for publication. As a service to our customers we are providing this early version of the manuscript. The manuscript will undergo cop...
Lithium-ion batteries (LIBs) are one of the most popular types of rechargeable battery for portable electronics, with one of the best energy densities, and also the choice power source for electric and hybrid vehicles [1]. Zinc oxide is an attractive material as a potential substitute for the conventional graphite anode in lithium-ion batteries, be...
Lithium-ion batteries (LIBs) are one of the most popular types of rechargeable battery for portable electronics, with one of the best energy densities, and also the choice power source for electric and hybrid vehicles [1]. Zinc oxide is an attractive material as a potential substitute for the conventional graphite anode in lithium-ion batteries, be...
This work presents the effects of a post-oxidation annealing step in the fabrication process of metal-oxide-metal (MOM) diodes. Both physical and electrical analysis have been used to confirm this. MOM diodes have the capability to rectify high frequency radiation, subject to their design parameters; namely their size, and therefore optimising thei...
The sizes of integrated semiconductor devices such as metal oxide semiconductor (MOS), have reached to submicron range where the gate oxide films are needed to fabricate at a nanometer scale. Atomic layer deposition (ALD) is a potential technique for depositing dielectric films with monolayer precision by repeating adsorption of a source gas on a s...
In conventional MOS structures, the interface region between silicon and its oxide contains defects which play an important role in the performance and reliability of the devices by acting as charge traps. Traps having response times greater than that of conventional (and so-called “fast”) interface traps are known as slow traps. These traps are su...
The sizes of integrated semiconductor devices such as metal oxide semiconductor (MOS), have reached to submicron range where the gate oxide films are needed to fabricate at a nanometer scale. Atomic layer deposition (ALD) is a potential technique for depositing dielectric films with monolayer precision by repeating adsorption of a source gas on a s...
Since Intel announced that they had made a great breakthrough in 22nm node [1][2] on May 4, 2011, FinFET Transistor structures had almost controlled CMOS technology beyond 22nm node. In this node, with the development of lithography, silicide process was switched to the back end. This huge change bring benefit to the formation of HKMG stack interfa...
Ternary rare-earth oxide LaLuO 3 shows clear experimental evidence of being one of the most perspective gate dielectrics for application in upcoming MOSFET technology [1]. On the one hand, these oxides are thermally stable in the amorphous phase and possess high permittivity, and, on the other hand, complex composition of the oxide leads to more he...
Through-silicon vias (TSV) are copper (Cu) interconnects used in three dimensional integrated circuits (3D-IC) and other packaging to allow inter-chip communication. A thermo-mechanical reliability concern for Cu-TSVs, are the formation of voids, which are indicative of the stresses undergone by the structures. Voids can degrade signal propagation...
Control of wetting properties at the extremes of wetting states (superhydrophilic and superhydrophobic) is important for many applications, such as self-cleaning, anti-fogging, anti-icing, and antibacterial action. While significant effort has been devoted to develop and characterize such open surfaces for various applications, their incorporation...