Science topic

FPGA - Science topic

Explore the latest questions and answers in FPGA, and find FPGA experts.
Questions related to FPGA
  • asked a question related to FPGA
Question
4 answers
Are there FPGAs with analog inputs?
Relevant answer
Answer
No not possible because fpga is made up of digital circuit. Fundamental building block is CLB (configurable logic block) which is made up of register, LUT, flip flop and mux. And implementation of analog amplifier not possible. Yes you can do it with extra circuitry interfaced with fpga.
  • asked a question related to FPGA
Question
1 answer
I have been trying to find a resource to identify the circuit element structures (from an optical micrograph) of delidded/decapsulated electronic devices, including the imaged Xilinx Arty A7 FPGA. My goal is to electrically stress one element or region of the circuit and see if that affects the sensitivity to localized ionizing radiation in the form of single event transients or single event upsets. I can see the electrical leads towards the octagonal structures, but I am not sure what they are (Capacitors?). I have circled a few other structures that appear as dots on this micrograph. Is there a resource I could use to help me identify these structures and how to locally electrically stress them? I have done so on simpler devices, like operational amplifiers, but this structure is more complex.
Thank you.
Relevant answer
Answer
I have asked this question a while ago, and I still do not have an answer, but perhaps the octagonal structures are configurable logic blocks. I believe there are several layers to the pictured FPGA and we are only seeing the top layer, so it could be possible that some of the features we see in the optical micrograph are some sort of interconnect between layers. The small (~1-2 µm) arrayed dark dots could be some component of the programmable interconnects.
In general I am still not certain, and any help would be appreciated.
  • asked a question related to FPGA
Question
2 answers
I am looking for a few good journal papers for my next work, which is used for efficient network traffic classification (NTC) using FPGA and ML algorithms for real-time NTC.
It is a kind of framework that works on the real-time organization traffic that works at the ingress router.
Relevant answer
Answer
Thank you sir C.P. Ravikumar
  • asked a question related to FPGA
Question
5 answers
I am using FPGA basys 3 board in my college, having 100MHZ clock frequency, i divided the default clock (clk) by 216 and getting clk_out in the output as the minimized clock after division. if the following command is used for the default clock in the constraint file
set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
then what will be the command in constraint file for the clk_out? the clock division is done in the following way
module clk_divi(clk,rst,clk_out); input clk; input rst; output reg clk_out; reg [7:0] delay; initial begin delay = 0; clk_out = 0; end always @(posedge clk or posedge rst) // if(rst) // begin if (delay < 108) begin delay <= delay+1; end else begin delay <= 0; clk_out <= !clk_out; // $display("clkout=%d ",$unsigned(clk_out)); end endmodule
Relevant answer
Answer
for dds systems, How to set the constraint file for accumulator with the control word of 32 bits and clk frequency of 140MHZ, how to design in verilog? here why we need ramp generator ?
  • asked a question related to FPGA
Question
4 answers
I created a project in vivado (target language: Verilog, FPGA Device: NEXYS 4 DDR). After successful simulation, synthesis and implementation of all the modules, I am getting Device Utilization Summary as zero(i.e. utilized slices, FFs, LUTs, IOBs, GCLKs = 0).
What can be the possible reason for this?
Relevant answer
Dear fellows, thanks for the answer provided by Edward Fisher . It would be nice to have a snipped section of the code to be more certain about why Saurabh Chaudhury get zero resource utilization. One possible reason is the full usage of variables instead of signals in the code, which affects the synthesis process and next stage, i.e. mapping and then place & route. Regards,
  • asked a question related to FPGA
Question
5 answers
I need help in monitoring the ADC(Model LTC2268-14) data which is interfaced with Artix Ultrascale+ XEM8320 board.
Relevant answer
Answer
Hi Kalyan Vankadavath, thanks for your reply. Actually I am using python to display the data on PC that are collected by ADC. I don't have much idea on MATLAB in this context. Could you tell me how do I do it?
  • asked a question related to FPGA
Question
3 answers
Hello everyone. Can anyone tell me if it is possible to build a lock-in amplifier by recording all the signal and reference in FPGA and then doing integral over the recorded data? All the process for demodulation seems to be possible by mathmatical calculation if I've already recorded all data, am I right? Many thanks for your help.
Relevant answer
Answer
Thanks for your answer. I'm considering to build a lock-in amplifier using a high speed FPGA at 16 bit resolution. Do I need to add extra algorithm on the basic "integral(X_sig * X_ref)" formula? Is there any specicial de-noise algorithm for this purpose? Many thanks
  • asked a question related to FPGA
Question
5 answers
How can I make a connection between FPGA and Simulink?
I want to use FPGA-In-the-Loop (FIL) and my purpose is performing altera board DE1-soc and Simulink.
Unfortunately I haven't found a way to do that yet if it's even possible.
Please leave any suggestions.
Best regards
Relevant answer
Answer
It is so easy, i have work in it before. Just follow the steps that written in Matlab documents.
  • asked a question related to FPGA
Question
5 answers
I need to learn the syntax as a beginner.
Relevant answer
Answer
Initially I am practising on arty board which have internal XADC. I am able to give analog signal and able to display(debugging). But I have problem in finding the address of the stored sample. Basically I want to monitor those samples.
  • asked a question related to FPGA
Question
6 answers
Hello everyone, can we use python as a programming language for FPGA? thank you
Relevant answer
Answer
Even though Python is not a concurrent language as VHDL or Verilog, you may use MyHDL which is a Python to VHDL/Verlilog converter. I never tested this converter, but here are the references: https://en.wikipedia.org/wiki/MyHDL and http://docs.myhdl.org/en/stable/manual/conversion.html
Regards,
  • asked a question related to FPGA
Question
3 answers
FPGA Implementation of Soft Decision Forward Error Correction Codes is required for High Speed Optical Communication Systems. Which tools and what hardware are required for the FPGS implementation.
Can de design the FEC encoder/ decoder for 10GBPS and beyond?
Please let us know the suggestions
Relevant answer
Answer
Go through these link. Remember it either altera or xilink
Depending on the FPGA of your choice you have tool-chain for it like Quartus and Xilinx's ISE !!
  • asked a question related to FPGA
Question
4 answers
Hi all,
I am trying to deploy neural network to a FPGA board mentioned in the question. So far I have succeed with simple projects provided by Mathworks such as:
and right now I would like to change images sent from PC to images from camera (ideally live video) connected directly to the FPGA board making it standalone machine, from image acquisition and preprocessing to image postprocessing.
Best example I found is:
But it requires a sister card which I don’t have, so before purchase I would like to explore other options. If its possible I would like to stick with Matlab/Simulink and HDL coder.
Thank you in advance.
Relevant answer
Answer
Recently I decided to abandon solutions provided by Mathworks and explore Xilinx tools. After some work with both Mathworks toolboxes and Vitis AI I would like to share my experience.
While Matlab and toolboxes that come with it seem easy to use on the surface, they are really badly described and overflowing with bugs. Moreover, the support provided by Mathworks is very poor and slow.
On the contrary, the Vitis AI environment is rich in detailed documentation. Something that took me a month with little to no success with the use of Matlab I accomplished in days with Vitis AI. Not to mention the far more helpful community that helped me solve issues in a matter of days.
With confidence I can say that Mathworks tools are not ready for the described type of applications.
  • asked a question related to FPGA
Question
3 answers
Hello, can anyone guide me on "How to Interface DAC with FPGA using Xilinx System Generator?"
Relevant answer
Answer
Aparna Sathya Murthy , I am using Basys3 FPGA Board and external DAC. I have the code for that DAC but how to connect DAC with FPGA? can you please share any detailed docs related to this?
Thank You
  • asked a question related to FPGA
Question
3 answers
Hello, I am working on a project in which I need to regulate the speed of the DC motor using the PID controller in Verilog for the FPGA Spartan 3e, is there any code I can start with?
Relevant answer
Answer
Check this github profile and you may see the relevant codes over there
  • asked a question related to FPGA
Question
3 answers
As a student who wants to design a chip for processing CNN algorithms, I ask my question. If we want to design a NN accelerator architecture with RISC V for a custom ASIC or FPGA, what problems or algorithms do we aim to accelerate? It is clear to accelerate the MAC (Multiply - Accumulate) procedures with parallelism and other methods, but aiming for MLPs or CNNs makes a considerable difference in the architecture.
As I read and searched, CNN are mostly for image processing. So anything about an image is usually related to CNN. Is it an acceptable idea if I design architecture to accelerate MLP networks? For MLP acceleration which hw's should I work on additionally? Or is it better to focus on CNN's and understand it and work on it more?
Relevant answer
Answer
As I understand from your question, you want to design the chip for your NN. There are two different worlds, one is developing a NN and converting it into an RTL description. Concerning this problem, if your design is sole to implement on ASIC then you have to take care of memories and their sizes. Also, you can use pipelining and other architectural techniques to design a robust architecture. But The other implements it on an ASIC with a commercial library of choice. This is the job of the design engineer who will take care of the physical implementation. Lastly, if you want to implement FPGA then you should take care to exploit DSPs and BRAMs in your design to gett he maximum performance of NN.
  • asked a question related to FPGA
Question
9 answers
As per datasheet of AD4111 I cannot make the interface mapping for AD4111 to FPGA If any helps come it will be good for my recent project.
Register mapping will be more helpful.
#embedded_systems #VHDL #electronics #fpga #adc #spi
Relevant answer
Answer
Caprio Mistry The SPI bus is a full-duplex synchronous serial interface data bus with minimal signal lines, a simple protocol, and a rapid transmission speed. Parallel high-speed computing using FPGA is utilized to satisfy device growth and experiment in high-rate settings based on these properties.
  • asked a question related to FPGA
Question
14 answers
Hello everyone!
I'm trying to implement 4 step commutation for AC-AC choppers using FPGA board from Xilinx (Spartan 6). The 4 step commutation code works perfectly fine on the FPGA and the output from the FPGA board has been verified on oscilloscope as well. The input to FPGA comes from Dspace microlab box( rti1202).
However, when I try to give the pulses from the FPGA board to the gate drivers (NCD57001) and try to verify the output of the gate drivers for 4 step commutation, one of the states of four step commutation gets missed and instead 0000 state appears.
I expect the output of FPGA to be exactly replicated by the gate drivers but there's a difference in the results. (files attached)
The propagation delay of gate drivers is 90nsec. The DESAT pin of the gate driver has been grounded at the output. Four gate drivers receive pulses from the 4 output pins of FPGA. The input grounds of all the gate drivers are connected to the output ground of FPGA.
Can anyone please suggest some solutions?
TIA
Relevant answer
Answer
Hi Ronaq,
thanks for sharing your solution!
So, on the positive side, your problem is solved; on the negative side, we'll never know why the NCD57001s behaved as they did. :-)
Good luck with your project!
  • asked a question related to FPGA
Question
3 answers
I have designed a CNN model in python using TensorFlow. Now I need to implement the same model using Verilog on FPGA.
Relevant answer
You can use the took kit in the link:https://pypi.org/project/pyverilog/ to convert you design from Python to Verilog.
There may be similar tools!
Best wishes
  • asked a question related to FPGA
Question
4 answers
We wish to write verilog code, which can be placed on an FPGA to generate FMCW radar waveform. Could, anyone suggest any code/ program pertaining to this. Thank you.
Relevant answer
Answer
It's better to implement the same in H SPICE FOR the above problem,
In VERILOG there may be some problems in synthesis and interfacing,
To reduce the synthesis problems I.e. interfacing we can use other platforms.
  • asked a question related to FPGA
Question
5 answers
We are planning to implement matrix based mathematical algorithms on FPGA. Could anyone suggest good book for these topics?
Relevant answer
Answer
I recommended the following books
1- FPGA Implementations of Neural Networks
Springer
Amos R. Omondi, Jagath C. Rajapakse
Year:
2006
Language:
English
2-Guide to FPGA Implementation of Arithmetic Functions
Springer Netherlands
Jean-Pierre Deschamps, Gustavo D. Sutter, Enrique Cantó (auth.)
Year:
2012
Language:
English
3-State Machines Using VHDL: FPGA Implementation of Serial Communication and Display Protocols
Springer International Publishing
Orhan Gazi, A.Çağrı Arlı
Year:
2020
Language:
English
  • asked a question related to FPGA
Question
4 answers
According to the number of Input and outputs ports of the FPGA chip we have, can we add (implement) any number of ethernet (100base) RJ45 and Fibre LC connector to the FPGA? What is the way to do that?
Also how can I also implement the following protocol into the FPGA; DNP3 , GOOSE and MODBUS?
which tools or libraries are using for these protocols on FPGA?
and what is best affordable cheap FPGA development board to buy with Ethernet Rj45 and Fibre LC connector SFP
Relevant answer
The paper in the link contains complete FPGA design and implementation on Xilinix VIRTIX 6 development board:
This paper introduces the field programmable gate array FPGA implementation of 1000BASE-X PHY Physical Layer for gigabit Ethernet over fiber optic cable. The implementation is achieved by developing VHDL model for all its building blocks including the physical coding sub layer, PCS, and the physical medium attachment, PMA. The VHDL code is simulated using XILINX ISE14.7 and synthesized on Xilinx Virtex6 FPGA chip. Measured results show that the designed and implemented Ethernet transceiver works successfully at 1.32 Gb/s, 2.5V supply with reduced power consumption.
There is even higher performance chips than Virtex 6 such as Verix 7.
  • asked a question related to FPGA
Question
9 answers
What is the best low-cost FPGA for power electronics modulation and control?
Relevant answer
Welcome!
I think there is no FPGA for power electronics specifically. FPGAs are used to implement logic functions and building blocks for reprogrammable and reconfigurable applications. They are designed for low power consumption and high speed such that they work at the lowest possible power supply.
In smart power ICs there is a digital core which is normally lower power circuits.
Then they are interfaced to the switching power circuits by high voltage digital circuits. Such as the 4000 CMOS logic family or special driver circuits.
It is always so that there is a buffer circuits between the low power core and the power switching circuits.
Best wishes
  • asked a question related to FPGA
Question
11 answers
I am a student new to this implementation, I need to design a frame for satellite communication for image transmission.
I am using QPSK modulation and demodulation, LDPC encoder and decoder.
help me out, I am programming in MATLAB later will test on FPGA
Thank you
Relevant answer
In case the communication link can not satisfy the required of minimum signal to noise ratio for the required quality of service one can improve the channle by dividing the data into frames and error detection methods in it. Normally one add parity bits for error detection. These parity bits can be used to check the occurrence or errors. If error detected then one can correct them by automatic repeat request where the frame in error will be sent again to the destination. Naturally as the frame length increases the provability of bit error rate increases.
And so the frame length is compromised by the rate of automatic repeat request for shorter frames and the increases probability of error when it becomes longer.
For more information about framing you have to study the medium access protocols.
Best wishes
  • asked a question related to FPGA
Question
7 answers
I have 100 samples of data (sampled at 170 Hz) each having 16-bit wide, first of all I want to store the data in FPGA basys 3 board and secondly how it can be displayed with the help of FPGA VGA or any other port to a computer monitor?
( I am using Vivado 2017.4 for verilog coding and Digilent FPGA Basis 3 for hardware verification)
# information obtained so far# For Verilog code, we can use $readmemh(for hexadecimal data) or $readmemb(for binary data) command to load a converted binary/hexadecimal text file directly into FPGA.
Relevant answer
Answer
Text file can not be dumped into fpga.. Text files are for only simulation.. U either write them using case Or u can try . mem extension.
  • asked a question related to FPGA
Question
9 answers
Is it possible to implement the maximum power point tracking (MPPT) system in the solar cell using artificial intelligence algorithms in FPGA through Vitis AI?
Relevant answer
Answer
Zahra Tohidinejad means whether there is a common ground between the artificial intelligence algorithms supported by Vitis AI and the artificial intelligence algorithms useful for MPPT? However, this question is expressed as application-based.
  • asked a question related to FPGA
Question
5 answers
How to make a fair utilized area comparison between three designs; one of them uses 4-input LUT, the second one uses 6-input LUT, and the last one which is an Altera based uses ALUTs ( Adaptive LUT). Can I calculate the equivalent gate size/number for each case?
Relevant answer
Answer
This article compares in the last section two results with same FPGA families with different type Virtex-4QV and virtex5:
  • asked a question related to FPGA
Question
7 answers
hello I am searching for an FPGA implementation that can be improved with redesign CORDIC algorithm for my Thesis project. has some one any idea?
I am looking for a new application for the CORDIC algorithm or an improvement of the algorithm for new applications
Relevant answer
Answer
Dear Aparna Sathya Murthy. I have already coded on extracting Eigen Faces in MATLAB. Recoding them with CORDIC algorithm can be a great idea, which I will definitely think about. Thank you very much for your help. Aparna Sathya Murthy
  • asked a question related to FPGA
Question
7 answers
i am doing research with optical devices and working with semiconductor optical amplifier based logic gates. i have achieved 405 ps response time for my logic design. now i would like compare both electronic and photonic logic gates. what is the maximum response time (RT) achieved by FPGA based logic gates and devices? kindly refer papers to understand the difference
Relevant answer
Answer
In the attached datasheet, please have a look at the -3 version, and the high performance (HP) data, e.g. from page 28.
However, you will not find a propagation time for a single gate. The smallest logic unit is a look-up table (LUT), located in a configurable logic block (CLB). The pin-to-pin propagation time (below 300 ps) depends mostly on the delay introduced by the means for configuration, so it would not decrease much if the delay by the logic operation would drop to zero.
As a rule of thumb, an internal gate is considerably faster than the input / output circuits. Since the lowest input buffer delay time is around 260 ps here, you can safely assume that the response time of a single gate is less than 200 ps.
  • asked a question related to FPGA
Question
6 answers
Comparison of different Micro Controllers and its features. Every micro controller has specific gui interface. In which, dSPACE specifically has online control feature. Except that what are the benefits of other micro controllers like Arduino, Raspberry, FPGA, dSPACE, PIC, C2000 with respect to digital io's and ADC and DAC and their resolutions. Please share features and views on any micro controller you are using.
Relevant answer
Answer
For power electronics applications,
Selection depends on the number of ADC's (differential ADCs for AC signals), Digital I/O, PWM, sampling frequency, switching frequency, and control complexity.
  • asked a question related to FPGA
Question
3 answers
I have designed a training model for the breast cancer dataset using floating-point multipliers and adders (adder tree)by storing patient data and weight value for each feature in BRAM for further processing. Some 20% of data is kept stored in the BRAM for testing. My doubt is how to pass testing data to the trained model. I have calculated the weight value for each feature using python script and which is the same for all patients. Please help me in this regard. If I am following the wrong method please suggest me.
Relevant answer
Answer
Thank you sir for your suggestion, My question is how to verify the SVM training model with testing data for FPGA implementation. I have stored testing data in BRAM.
  • asked a question related to FPGA
Question
2 answers
  • My first step is to add FPGA into the hydroacoustic communication system to improve the performance and anti-interference of the system, the second step is to import the algorithm into FPGA, and the third step is to optimize the algorithm
  • So first I have to go to add FPGA to hydroacoustic communication system, but I don't have any clue, are there any papers I can refer to?
Relevant answer
Answer
If you are familiar with FPGA, then I would recommend first to model the system in Matlab eventually, and use a ready made board (big enough fro the project).
Developing your own FPGA board, that is another piece of cake ... That is much difficult problem it is a question of PCB design and simulation also, not only an FPGA design.
  • asked a question related to FPGA
Question
6 answers
Hi everyone,
I am trying to optimize my data in FPGA and reduce the data movement between off-chip memory and FPGA. one of the possible techniques is the data reuse methodology. I need a reference, lecture or tutorial to learn about the spatial and temporal reuse techniques. I appreciate any help or guidance from you in advance.
Relevant answer
I think this is an important question regarding the system design and implementation using FPGAs. The FPFAs contain what is called block ram that can be used as internal memory on the chip. IN case of Memory intensive applications one may an extremal memory. Sure the external memory may take relatively long time to access compared to the block ram which can be made to neighbor the processing block. So, the block ram may function as a cash memory for the local processors. As said before only the data which would be accessed much fewer times can be sent to the off chip memory. It is similar to the cash memory and external memory of the microprocessors.
Best wishes
  • asked a question related to FPGA
Question
3 answers
I am using Xilinx Zedboard and I want to generate optical codes using FPGA. Any idea how to do this?
Relevant answer
Dear Ajay Yadav ,
The most straight forward method is to calculate the codes and store them in memories. Then you need only to read these codes and steam them with right clock. The other method is to execute the algorithm calculating such codes.
The second solution may take more time than the first method.
Best wishes
  • asked a question related to FPGA
Question
4 answers
What are the main challenges of implementing different deep learning models architectures on FPGA platforms? I am particularly interested in CNNs.
Relevant answer
Answer
FPGAs circuits contain A lot of memories units for computation purposes it works like GPUs and threads in the CUDA so it is suitable for embedded applications. there is a programmable board is called "Altera DE2–115" Board program using HDL languages or python
to see an example from here https://github.com/danielholanda/LeFlow
  • asked a question related to FPGA
Question
4 answers
with HDL coding, we can do functional verification. what else can we do with the help of other tools may be like chipscope pro, FPGA board etc
Relevant answer
welcome!
What do you mean with front? Front end of what system?
In case of rf radio transceivers that are now software defined, the functions are divided into analog rf part, data converters (A/D andD/A converters) and digital subsystem. The digital subsystem can be divided into two main functions, the frequency conversion and modem subsystem as well as the base band processing. The high speed digital subsystem can be termed the digital subsystem frontend while the base band processing with lower speed can be termed the backend digital subsystem.
The tools are:
Hard ware platforms such as fpgas, dsps, dedicated processors, and general purpose processors.
The software is operating systems, device drivers, middle ware and application software.
As for the fpga tools are VHDL design suit such as the ISE design suite from XILINIX.
One can use MATLAB/SIMULINK also for system and preliminary design.
Such designs can be compiled to VHDL using system generators.
The same is valid for design using DSPs. MATLAB codes can be compiled on DSPs by code composer studio from Texas instrument.
  • asked a question related to FPGA
Question
4 answers
Hello guys I'm a student and actually I'm working on spiking neural network , is there anyone could help me about finding a verilog code implementation on FPGA of a spiking neural network.
Thank you
Relevant answer
Answer
Aparna Sathya Murthy Thank you for your cooperation.
There is no an open source code because I'am trying to understand more the content and the model.
Thanks
  • asked a question related to FPGA
Question
2 answers
Actually, I want to know the process of the implementation of cryptanalysis on AES and Blowfish using the FPGA board, can you suggest to me what resources are available for this implementation
Relevant answer
Answer
First of all you have to select an appropriate FPGA or may be embedded SoC boeard such a Zybo Borad. Then creat a project and constraints for AES and blowfish. You can write you c program that manages cryptanalysis and this part will run on processor and cryptocores of FPGA. Then create a SoC. You have to learn some lectures how to create SoC.
Note: This guide is absed on Xilinx FPGAs.
  • asked a question related to FPGA
Question
3 answers
Hi,
Can anyone guide me on which cheap FPGA kit can I used for linear as well as non-linear type controller implementation in Power Electronics Applications?
Thanks
Relevant answer
Answer
@Rohit Kumar Jain's Can I use it for implementing an MPC controller for Power Electronics Converters?
  • asked a question related to FPGA
Question
5 answers
I don’t think that the textbook scaling equations can be used for fair comparisons for recent nodes.
Relevant answer
It is not wise to compare an opinion with a research pair. You do not need to add you But sentence!!!
  • asked a question related to FPGA
Question
5 answers
How to implement max Log MAP algorithm turbo decoder on FPGA? Simulation is done on MATLAB. What are the next steps to be followed? Do I need to use HDL Coder feature in MATLAB? Is it needed to implement it on Simulink or System Generator first?
Relevant answer
Answer
MATLAB HDL coder generates synthesizable Verilog/VHDL code. You can use it for FPGA programming. With a test bench simulate the code with the tool provided by FPGA vendor. This will help you in finding the limitations in synthesis. Once simulation works, it is ready for FPGA implementation.
  • asked a question related to FPGA
Question
4 answers
I need to implement matrix inversion in hardware. The size of the matrix can be anywhere from 50 *50 to 8*8. Are ready-made standard codes available or inbuilt modules available with FPGA boards, please let me know? How feasible it is. If not FPGA can anyone suggest, another type of hardware to do so.
Relevant answer
Answer
It is absolutely feasible. I presume you want to solve a set of linear equations, so I would go for an LU factorization scheme. These rely on row/column operations that can be readily implemented in FPGAs. I suggest familiarizing yourself with matrix factorization algorithms and then thinking about how to transfer the factorization scheme into an FPGA. Since this sounds like a class project, I can't give you the solution! ;-)
  • asked a question related to FPGA
Question
7 answers
Hi,
I am trying to implement a basic CNN on FPGA using fixed point arithmetic. It is originally trained using 32 bit floating point computation. I want to implement this with 16 bit fixed point arithmetic on FPGA. Do we need to get the max , min and std deviation values of each layer for this. It will be great help if someone can explain this. Also how we can find these values ie. max, min and std. deviation for each layer of CNN.
Relevant answer
Answer
Muhammad Irfan : Thank you for your answer. I got that in consideration of logic resources we have to convert to fixed point. I can get weights from a floating point trained model and convert it to fixed point. one doubt is do i need to convert the input values as well to fixed point format.
Some suggest training with fixed point and then doing inference in fixed point as well in CPU/GPU. This we can use for comparison of results once we implement it on FPGA. So i need to create a fixed point model in python. how do i go about it. how can i instruct it to store/update weights and activation maps in fixed point format.
  • asked a question related to FPGA
Question
5 answers
What is the difference between the softcore ARM processor with FPGA (example xilinx) and the hardcore ARM processor! : limitations and performances
Relevant answer
Answer
U. Dreher explained it quite well, but I would one more simple point.
If you compare the area on fabric that is covered by a hardcore ARM processor and a softcore ARM process, the latter will be taking far more than the earlier one.
It is because the FPGA modules (Slices, CLBs, LUTs, BRAMs) are so generic that it will not be best suited for only one implementation.
Not always, but in general, the more area an implementation takes, the more power-hungry and slower it will be on the FPGA's fabric.
  • asked a question related to FPGA
Question
3 answers
I am trying to implement a deep CNN onto FPGA. I trained my model using Keras and is trying to do the inference on FPGA. I would like to know how to get the kernel values to feed to FPGA or do we provide activation maps instead?
Relevant answer
Answer
For inference on FPGA, you just need the weights and bias value to manually feed into each neuron that you build. Additionally, you have to store the activation function in the FPGA memory as well. So when the weights and bias are computed with the input values, the activation function provides you the ultimate output.
You would like to have a look into this if you code in VHDL.
  • asked a question related to FPGA
Question
1 answer
I am trying to implement a processor tile on FPGA using vivado but it is taking too long to synthesize design due to large amount of Instruction and Data Memory.
Currently, I have put complete machine code of a simple GCD code in the instruction memory and generated the bit stream file.
But I cannot afford to wait for hours everytime I try to synthesize processor for a new code.
There must be a way to interact with internal memory of FPGA so I can put machine code in the memory and connect to instruction memory of processor.
In this way I will generate bit stream file of processor tile only once. Burn it to FPGA and put different machine code every time to test a different code.
I have gone through documentation of board and explored many other documents but could not find something useful at my level.
Board I'm using: Nexys A7-100T.
Software: Vivado 2019.2
  • asked a question related to FPGA
Question
1 answer
Field programmable gate arrays (FPGAs) have been constantly used for embedded systems in various applications, such as inverters, medical appliances, etc. The reverse engineering in the FPGA is a way of re-configurating the netlist inside the FPGA in various ways. There are some known tools such as Debit, BIL, and Bit2ncd that could be especially used for Xilinx products. However, these are still under developmental phase. Are there more such products available for stable use? What if non-Xilinx products such as from Intel Altera FPGA's are adopted for use?
Relevant answer
Answer
as far as I know, there are no such tools around
  • asked a question related to FPGA
Question
5 answers
I have written a verilog program in which some of lines of code runs based on positive edge of clock pulse (Ex: always @ (posedge clk) ). Therefore, the code requires a clock (clk) as one of the inputs. Assigning clock pulse as an input in the target device CMOD S6 (spartan 6) is possible and hence programming/flashing CMOD S6 become success. Also verified that the obtained output results are satisfactory.
Now, instead of flashing an external real world FPGA, I wish to flash the above code into the internal FPGA of Opal-RT target device OP4510 using system generator 14.7. However, I got stuck in accessing FPGA clock which is required, as I said before, as an input for the code. I have tried to use pulse generator as a clock input but can not set its frequecy as 8MHz (desired clock frequecy) due to simulation step time limitation.
I would be thankful for the relevant responses.
Relevant answer
Answer
Thanks for your response mam Aparna Sathya Murthy . I know this process and infact in the query itself I have mentioned that I had set the Gclk to the assigned pin in .ucf file. Later, it is programmed to an external FPGA board. Now, I am using "BlackBox" block of xilinx blockset library in the MATLAB-Simulink. This block only asks for '.v' file but not '.ucf'. So I am looking for suggestions for assigning clock in MATLAB.
  • asked a question related to FPGA
Question
4 answers
What FPGA accelerator algorithm for CNN's have significant reduction in resource usage while maintaining high accuracy and performance comparable to normal algorithm.
I'm training the network in a PC and planning to export the inference into a FPGA.
Relevant answer
One of the suggestion will be to convert floating point implementation to fix point or integer. Not sure how much it will affect the accuracy. This usually depends on what the data set , weights and layers are.
Xilinx have DPU IPs. These are soft IPs, so does use resources inside the FPGA.
Usually the bottle neck is data transfer from memory into FPGAs. One way to get out of this will be to come up with smart BRAM Architecture to store part of data/weights.
  • asked a question related to FPGA
Question
8 answers
What will be the RTL design? How can it be implemented inoder to secure voice communication using AES128 bit encryption on FPGA.
Relevant answer
If you want to implement AES on FPGA, then you have to write its code in a VHDL language. That is you need to implement the AES algorithm VHDL hardware description language. If you have this description you use the simulation tools to get the RTL logic description. The whole software tool serving all the design steps from VHL to an executable file on FPGS is available from the vendors of the FPFAs such as the XILINIX ISE software tools.
For much more details please follow the data in the link:https://www.xilinx.com/products/design-tools/ise-design-suite.html
Best wishes
  • asked a question related to FPGA
Question
2 answers
I'm currently working with ECG and PPG signals implementing them in FPGA. I have to do A-D conversion for further processing.
I have decided to go with non-uniform sampling method.
How can I set my sampling frequency, quantisation step size, sampling rate for non-uniform sampling?.
Can anyone give me solution?
Relevant answer
Answer
Thank you sir!!
  • asked a question related to FPGA
Question
2 answers
I'm a researcher doing my research on the Acoustic Emission (AE) Technique. A few days ago a problem arises in AE WIN software (Physical Acoustic Corporation, Micro II Express, Digital AE System). It is showing "Can't read FPGA correctly". Does anyone have any idea why the software is showing this type of error? and how to counter it? A Screen Shot Is Attached.
Relevant answer
Answer
Im not a user of that program. But is the program running on an oeration system it is designed for? Seems to be win 7 there and many run win 10 now.
Furthermore has the system ever worked on that comuter? If not see if you run the last version of the program, if not download and make sure the latest drivers and program runs on the system.
  • asked a question related to FPGA
Question
3 answers
The Network elements includes Hubs, Switches, Routers, and Gateways.
i Need any link or reference paper for gateway architecture design
Relevant answer
Answer
The purpose of this study is to identify a new design concept in Internet of Things by exploring new patterns in which different technologies, devices and protocols can integrate with one another with the aim of defining the due processes of data acquisition, aggregation and transmission from the perspective of Field Programmable Gate Arrays (FPGAs), without inherently presenting major constraints to the designer.
  • asked a question related to FPGA
Question
3 answers
in FPGA or VLSI design, How can, identified short path problem and corrections.
Relevant answer
Answer
I think you mean solve rather than correct the short path problems. It is known that single source shortest path (SSSP) is a fundamental problem in graph theory. However, the existing SSSP implementations on field-programmable gate arrays (FPGAs) are incapable of processing large graphs by storing the graph and results in internal memories.
This problem is solved by parallel processing as in the paper at the link:
Best wishes
  • asked a question related to FPGA
Question
9 answers
Hello Friends,
Am developing flight control system completely in VHDL. for PID implementation, i have developed algorithm in arduino and tested for single axis, it works fine. while tuning Kd,Kp,Ki values, it responds accordingly. the same algorithm i developed in VHDL. i used modelsim for simulation. but after inserting into FPGA keeping all other setup same, while testing it is not functioning as expected. while increasing Kd value, instead of reduce oscillation, it increases oscillation. i have checked orientation of motors and other hardware. everything fine. am not sure where am missing. requesting suggestions.
Thanks
edit: Thank you for your valuable replies. Based on all of your inputs, currently am checking step by step, comparing arduino output with FPGA output. I have one doubt to clear. arduino gives PWM signal with 5V output which is connected to Simonk ESC to drive BLDC motor.But FPGA gives gives 3.3V PWM output. will it be the problem? need i put 3.3V to 5V logic level shifter, to give control input to ESC?
Relevant answer
Answer
Perhaps you can duplicate the input to both Arduino and FPGA and compare the output..
  • asked a question related to FPGA
Question
3 answers
I am trying to interface with a IR sensor(640x480) and display the raw data(for now) via HDMI out. 
PSYNC for the sensor is 19.2MHZ @ 60Hz (HSYNC is 25 psyncs and VSYNC is 689 psyncs) 
I'm struggling with displaying it over HDMI 640x480@60hz because the output pixel clock is 25MHz.
The main issue is that psync for the sensor is 19.2MHZ and display clock is 25MHZ.
The input frame time from the sensor is (665*480 + 664)/19.2M = 16.659 ms
And the display output frame time is 800*525/25M = 16.8 ms
So the input frame is always faster in this case and hence causing the issue where the buffer between the 2 doesn't remain constant.
I have tried buffering various lines in BRAM of input data and then starting the output stream when the buffer is full but the data on the display doesn't seem to be stable (meaning there is a non-static misalignment between one horizontal line to the other).
I have been trying to get this to work for 3 days now. Any help/idea would be appreciated.
Please let me know if I'm missing some information here. This is the first time I am diving into images/video and FPGA. 
This must be a common issue and there must be solution for this but it's just that this is my first project in images and I don't know where to look.
Relevant answer
Answer
It's a question of sampling with multiple frequencies: data acquired at 19.2 MHz (input) and displayed at 25MHz (output).
It seems you can't change your output frequency, 25 million samples per second.
Then you need to feed these 25 million samples from what you get: 19 200 000 samples (values) per second.
This is a known issue in signal processing called oversampling.
Call 19.2 MHz f1 and 25 MHz f2.
The theory is that you want a f3 which is divided by each f1 and f2 (f3=n*f1, f3=m*f2). This is elementary algebra, you want f3 smallest common multiple of f1 and f2 (easy, compute it).
Then what you do is an expansion:
-build n times more samples from your acquired signal than what you acquired.
This is called interpolation, extrapolation.
Upsampling by factor n)
-from the new set you want to select m times less (on average you want one sample from any m new samples)
Beware of Nyquist rule: low-pass filter before downsampling by a factor m.
You can find the details of these steps in any Signal Processing textbook.
Does it help you?
  • asked a question related to FPGA
Question
4 answers
Help me to identify the Fast and Area efficient convolution methods that can be implemented using FPGA.
Relevant answer
Answer
I suggest you transfer your 2 signals to other domain (Time--> Frequency) and do multiplication instead in that domain. This will save you a considerable amount of FPGA resources. You can transfer the signals to the other domain using FFT core then after multiplication, restore to the original domain by a IFFT process, the result is the convolution that you are looking for.
  • asked a question related to FPGA
Question
3 answers
Hello,
I am currently working on the estimation of the electromigration of an FPGA.
We just performed the construction analysis so we know all the values of wire width and height for each metal line. From the data sheet, we also know the current consumption of the device.
We would like to estimate the electromigration lifetime for each metal line. In order to do so, I need to know the current density. Any idea how can I (roughly) estimate it, with the data I have available?
Thanks a lot
Relevant answer
Dear Valeria,
welcome!
Just an idea and not a rigorous answer.
If you have the feed wires network which i think has its own metal layer, then you can distribute the total current among them where one can assume that the currents is distributed proportional to the cross sectional area.
In this way one can get the current in every wire and the current density.
One practical way is to acquire the magnetic field picture using hall generators array or any other areal magnetic sensors.
The current in the wire will be proportional to its magnetic field.
Precise current distribution can be obtained by specifying the loads on the bias lines according to the circuit block fed by this specific wire.
Hope these ideas will guide you to find the appropriate solution.
Best wishes
  • asked a question related to FPGA
Question
8 answers
High-end APIs of python, Tensorflow and Keras dramatically reduce the time and effort put into experimenting and deploying DL/ML models for various tasks. but I can't seem to find a High- level framework that quickly implements these algorithms on FPGAs.
What is the reason behind this? Is there any framework which helps me quickly experiment on FPGA?
Relevant answer
As a design platform you can use Simulink/matalb. You can design the system in Simulink building blocks and the you can compile it into VHDL using the XILINIX SYSTEM GENERATOR.
As an example we designed the the acquisition and tracking phases of the GPS receivers on FPGA using such suite.
For details please follow the link:
and the link:
Best wishes
  • asked a question related to FPGA
Question
3 answers
I have written code for DEMUX and it is synthesizing it with LUTs only and it is not taking DEMUX into consideration while synthesis. why?
I am using xillinx zybo board and synthesis with vivado software.
Relevant answer
Answer
In 7-series FPGAs (and Zynq on Zybo belongs to this cathegory) there are no Demultiplexers as building blocks. Basic building blocks are CLBs containing look-up-tables (LUT), Flip-Flops and some Multiplexers. These multiplexers can help to build wider multiplexers from LUTs.
Why isn't it so important to have DEMUXes physically on an FPGA or in digital integrated circuits at all?
Find the answer by inspecting a demultiplexers internal circuit and compare it to a decoder. What do these two circuits have in common? They both have a group of selection signals decoded by AND-gates. The only difference is, that a demux feeds an input line to each AND-gate. Each AND-gate points to a target logic.
During circuit synthesis the demux-decoding can take place in the target logic directly and also the input will go directly to each target. So, decision to take an Input or not is derived from select lines from the target logic itself, in fact in each target's LUT.
  • asked a question related to FPGA
Question
6 answers
I have implemented the direct torque control using matrix converter in the matlab simulink. Now I want to proceed for the hardware implementation..
I read about the xilinx system generator software to develop the code for FPGA.. Is it easy to implement or any other mode of code generation for FPGA is available? Kindly someone suggest me a path to proceed with by sharing your ideas.
Relevant answer
Answer
Some useful tips for hardware development of matrix converter:
1. Design the prototype on a PCB. Try to design the clamp circuit on the same PCB.
2. Try to minimize the distance between gate driver circuits and the devices. If possible use design gate driver cards that can be plugged-in.
3. Use a dedicated low cost FPGA for implementing four step commutation.
4. For the controller, the most convenient should be dSPACE , OPAL RT or similar RTIs. You can also use a DSP or FPGA as controller.
5. Mentally prepare yourself that you'll burn a few devices here and there. Start enjoying those gargling sounds from the variac that indicate destroyed switches. Its going to be a long and beautiful journey.
All the best :)
  • asked a question related to FPGA
Question
3 answers
Hi,
I am working with a platform to deal with signals based on FPGA boards.
I have currently built the reference design on GitHub ( https://github.com/analogdevicesinc/hdl/tree/master/projects/daq2), and the Linux software core with it.
I now need to reduce the voltage of the signal output on DAC, but I have no idea where I can start to modify the project. I am wondering if someone has solved similar problems before and can give me a hint what I can do.
Thank you!
Relevant answer
Answer
Hello,
I suggest visiting the constraint file located in the same repository:
Then, you may have to reduce the reference voltage that is used with the DAQ with a statement like:
set_property -dict {INTERNAL_VREF {0.84}} [get_iobanks 45]
Good luck.
  • asked a question related to FPGA
Question
3 answers
I am trying to implement a model predictive controller to control my soft robot. Right now, the robot is being controlled in an open loop. I have written python code for the MPC but the codes are not running very fast. I don't think the computation time is sufficient for my purpose. Is it possible to interface an FPGA board with the Pi? or Is it possible to do the main computations on a PC and communicate with the Pi.
Relevant answer
Answer
What code style do you use with Python? Pure pythonic or numpythonic (with numpy)? The second one is faster really (~30x).
FPGA has immense processing power. Development is so long and hard. It is suitable if whole logic is known in advance.
You may preprocess some data at Pi, communicate with PC by bluetooth (if you have less 60 control command in second). If tou have capacious battery, you may use Wi-Fi. It is possible on Raspberry Pi - Zero W by default.
What tasks should a soft robot solve? Thus Pi may be enough.
  • asked a question related to FPGA
Question
6 answers
FPGA boards can be used to implement signal processing algorithms. However, SVD needs many matrix multiplications and inversions. Can it be implemented using FPGA libraries or VHDL code or it is difficult?
Relevant answer
Dear Mohamed,
In addition to the colleagues, conceptually every computation algorithm that you implement it using a general purpose computing machine based on microprocessors can be implemented using FPGA as a computing platform with much higher speed because the implementation on FPGA is hardware implementation rather than software implementation on a single processor.
This is from the basic point of view. So, one can use FPGA for real time implementation of the singular value decomposition where one can exploit the high speed and the larger size of the advanced PFPGA chips.
I would like to read the paper in the link:
Best wishes
  • asked a question related to FPGA
Question
7 answers
I am working on processing real time sensor data on FPGA using Neural Networks. But I am not able to acquire the data on FPGA. One solution was suggested that I use High Level Synthesis(HLS) for this, using the soft-IP processor core. I want to know if there is any other way to do this, preferably using I/O onboard FPGA. Please suggest. Thanks in advance.
Relevant answer
Answer
Thank you Sundara Siva Kumar v . Definitely LabView can be used along with RIO kits for the task.
Aparna Sathya Murthy Yes it is analog and the board has ADC inbuilt. Thank you for the suggestion.
  • asked a question related to FPGA
Question
3 answers
I am looking for an open source alternative for HDL Simulators such as Modelsim Student Edition (limited version). Any suggestions??
Relevant answer
Answer
For VHDL, there is GHDL : http://ghdl.free.fr
Arnaud
  • asked a question related to FPGA
Question
3 answers
I am trying to create some pattern with a DMD (digital micromirror device) and I want to configure a FPGA to drive the DMD but I'm running into some problems.
Relevant answer
Answer
We would like to answer your question, but we do not know what it is. What problems are you running into? You can use FPGAs to control almost anything if your FPGA is sufficient and you know the interface. You have given us no details. Please be very specific.
  • asked a question related to FPGA
Question
3 answers
for FPGA implementation
Relevant answer
Answer
I believe that i am looking for the same topic. Hopefully someone could help
  • asked a question related to FPGA
Question
8 answers
I would like to design and develop a control system based on FPGA ALTERA DE0, this system control a bridge inverter with PWM technique, I need to sens the load current and control it at thier reference.
Relevant answer
Answer
Everyone chooses his own path ... The controller is clocked at a PSC frequency of 64 MHz, which, when implementing an 8-bit PWM, allows you to get a clock frequency of 250 kHz.
  • asked a question related to FPGA
Question
3 answers
Please does anyone have an experience in implementing Decision Trees on FPGAs? I have read a couple of papers and emailed a couple of people but i haven't received very good feedback on how to go about the design and implementation. I have searched online for tutorials in this regard but can't seem to find much out there.
If you have experience in this, please can someone point me to the right direction either tutorials or where I can get substantial information on this. The area for implementation is not too important as I can always tailor it to my needs.
I am trying to design and implement it using the Xilinx vivid tools and implement it on the ZEDBOARD.
Any help is greatly appreciated.
Many thanks.
Relevant answer
Answer
look here:
pages 13 and 14: decision tree logic for algorithmic state machine (ASM)
  • asked a question related to FPGA
Question
3 answers
I am working on a project to send data to a database using FPGA over Ethernet connection. I believe the FPGA needs to communicate with SQL Server in order to transfer the data to a database. I am very new this and unfamiliar to the protocol. Any advise would be much appreciated.
Relevant answer
Answer
Hi Peter and Aurelio,
Thank you for the suggestions.
I have successfully ran a web server demo using NIOS II. I will look into Linux kernel to communicate with an SQL database.
Regards,
Syasya
  • asked a question related to FPGA
Question
5 answers
VLSI is the process of creating an integrated circuit (IC) by combing thousands of transistors into a single chip. There are two kinds of widely used IC technologies. One is FPGA and other is ASIC. Budget, power consumption, and speed are the common parameters considered for the selection of these technologies. Suppose, a medium volume production of an image transformation circuit is required. As a Circuit Design team lead, which one of the two IC technologies you will select considering the above given parameters for the design of production of required transformation circuit?
Relevant answer
Dear Muhammad,
welcome,
I would choose as a first solution to use FPGA as it has short design cycle in addition to the presence of FPGA development kits for prototyping. This is provided that the design satisfy the power consumption, the speed and area requirements.
If the one fails to make a workable design on FPGA then one haa to use ASICS.
ASICS has more speed, less power consumption and less area. So, one can use it when FPGA fails to function properly. But the design and prototyping cycles are longer. If you need large volume , then one also prefer to use ASICS.
Best wishes
  • asked a question related to FPGA
Question
5 answers
i want grab frames from VGA camera for processing
Relevant answer
Both DSP and FPGA can be used to process the images from the camera. But i think the DSP is more suitable for memory intensive applications and the FPGA is more suitable for processing intensive applications. The optimum solution is to use both as a very strong plat form. In addition, FPGA can perform processing faster than the DSP as the execution is hardware based.
Best iwhses
  • asked a question related to FPGA
Question
3 answers
As per the concept of "reversible" and "irreversible" logic, the amount of heat dissipation for an FPGA module varies. However, in any practical scenario, it can't be purely reversible logic processing (Plz correct me, if I am making any blunder in this assumption), then how much heat dissipation should a thermal analyst consider?
Relevant answer
Dear Sunil,
welcome!
I could not understand your question about the correlation between the logic function reversibility and the heat dissipation in the module.
As an example the AND function is not reversible while the multiplexers and demultiplexers are reversible. The AND function is implemented by the AND gate,
where can calculate the energy consumption in every process in the AND. Also in implementing the the multiplexer functions one can calculate the energy dissipated in very process and the same valid fro the demultiplexer.
The dissipated energy will be consumed as a heat. So, physically you can calculate the energy dissipation in every process in any modules.
Best wishes
  • asked a question related to FPGA
Question
3 answers
I will be responsible for the FPGA implementation, and i need a researcher with a ML application that needs to be implemented. Highly recommended that we could find a topic that related to robotics or IoT
Relevant answer
Answer
Some of my students are working on the implementation of ML algorithm on the Raspberry platform. They have built human presence detection using NN algorithm after collecting the data as the human body temperatures.
  • asked a question related to FPGA
Question
12 answers
Hello everyone,
which ways could I use for obtaining data about colour of every pixel in image which has .jpg or .png formats? In the end I want to get .txt file with numerical values of colours in the RGB palette to download it to FPGA by UART. If you know better methods to do this, I will be glad to learn them.
Thank you in advance.
Relevant answer
Answer
If you are using VHDL then you need to transform the image into text file and then binary. please, if you are using Verilog then use the package textio package. You might use C or matlab code to transfer the image into binary format then insert its location in the VerIilog code I attached a web site reading binary file using VHDL. However, you can also use C .
also, you need to use VHDL transmitter/ receiver file to transfer your data to FPGA. I have my own but I believe it is available as package.
in matlab
[x,map]= imread(‘location of your image ‘, ‘jpg’);
imwrite(x, ‘new file name’, ‘bmp’);
Hope it helps brother
  • asked a question related to FPGA
Question
4 answers
I have developed a BLDC Motor Model, that model I have to upload to a FPGA board which would simulate the real time behavior of the motor . My problem is I cannot create a simulink block which can convert PWM signals to gate signals.I have generated gate signals using electrical rotor angle but i dont need that right now
Relevant answer
Answer
Is it your intention to control the FPGA-implemented motor model by a microcontroller as it is described in the paper (Microchip AN899)?
  • asked a question related to FPGA
Question
5 answers
Hi there,
I tried to implement the "PRINCE" algorithm in FPGA using the Xilinx simulation tool and I have a problem to do that and I missed enough knowledge to do that, so please any advice for help and how and from where should I start?
Thanks for the advice...
Best regards.
Relevant answer
Answer
Perhaps this article is helpful?
  • asked a question related to FPGA