Keith Standiford’s research while affiliated with GlobalFoundries Inc. and other places

What is this page?


This page lists works of an author who doesn't have a ResearchGate profile or hasn't added the works to their profile yet. It is automatically generated from public (personal) data to further our legitimate goal of comprehensive and accurate scientific recordkeeping. If you are this author and want this page removed, please let us know.

Publications (9)


Mask model calibration for MPC applications utilizing shot dose assignment
  • Article

October 2014

·

35 Reads

·

17 Citations

Proceedings of SPIE - The International Society for Optical Engineering

·

·

Sankaranarayanan Paninjath

·

[...]

·

Shrinking feature sizes and the need for tighter CD (Critical Dimension) control require the introduction of new technologies in mask making processes. One of those methods is the dose assignment of individual shots on VSB (Variable Shaped Beam) mask writers to compensate CD non-linearity effects and improve dose edge slope. Using increased dose levels only for most critical features, generally only for the smallest CDs on a mask, the change in mask write time is minimal while the increase in image quality can be significant. However, this technology requires accurate modeling of the mask effects, especially the CD/dose dependencies. This paper describes a mask model calibration flow for Mask Process Correction (MPC) applications with shot dose assignment. The first step in the calibration flow is the selection of appropriate test structures. For this work, a combination of linespace patterns as well as a series of contact patterns are used for calibration. Features sizes vary from 34 nm up to several micrometers in order to capture a wide range of CDs and pattern densities. After mask measurements are completed the results are carefully analyzed and measurements very close to the process window limitation and outliers are removed from the data set. One key finding in this study is that by including patterns exposed at various dose levels the simulated contours of the calibrated model very well match the SEM contours even if the calibration was based entirely on gauge based CD values. In the calibration example shown in this paper, only 1D line and space measurements as well as 1D contact measurements are used for calibration. However, those measurements include patterns exposed at dose levels between 75% and 150% of the nominal dose. The best model achieved in this study uses 2 e-beam kernels and 4 kernels for the simulation of development and etch effects. The model error RMS on a large range of CD down to 34 nm line CD is 0.71 nm. The calibrated model is then used to generate 2D contours for line ends, space ends and contacts and those contours are compared to SEM images. For all patterns, including those very close to the resolution limit, very good contour overlay is achieved. It appears that by including the various dose levels in the calibration a very good separation of the e-beam model components from the etch components is possible and that this also results in very accurate 2D model quality. In conclusion, very accurate mask model calibration is achieved for mask processes using shot dose assignment. Standard test patterns can be used for calibration if they include the dose variations intended for correction.


Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP

October 2014

·

17 Reads

·

6 Citations

Proceedings of SPIE - The International Society for Optical Engineering

A methodology is described wherein a calibrated model-based 'Virtual' Variable Shaped Beam (VSB) mask writer process simulator is used to accurately verify complex Optical Proximity Correction (OPC) and Inverse Lithography Technology (ILT) mask designs prior to Mask Data Preparation (MDP) and mask fabrication. This type of verification addresses physical effects which occur in mask writing that may impact lithographic printing fidelity and variability. The work described here is motivated by requirements for extreme accuracy and control of variations for today's most demanding IC products. These extreme demands necessitate careful and detailed analysis of all potential sources of uncompensated error or variation and extreme control of these at each stage of the integrated OPC/ MDP/ Mask/ silicon lithography flow. The important potential sources of variation we focus on here originate on the basis of VSB mask writer physics and other errors inherent in the mask writing process. The deposited electron beam dose distribution may be examined in a manner similar to optical lithography aerial image analysis and image edge log-slope analysis. This approach enables one to catch, grade, and mitigate problems early and thus reduce the likelihood for costly long-loop iterations between OPC, MDP, and wafer fabrication flows. It moreover describes how to detect regions of a layout or mask where hotspots may occur or where the robustness to intrinsic variations may be improved by modification to the OPC, choice of mask technology, or by judicious design of VSB shots and dose assignment.


EUV OPC modeling and correction requirements

March 2014

·

87 Reads

Proceedings of SPIE - The International Society for Optical Engineering

In this paper we discuss the EUV OPC modeling challenges and potential solutions, as well as OPC integration requirements to support the forthcoming application of EUV lithography. 10-nm-node OPC modeling is considered as an example. Wafer and mask process data were collected for calibration and verification patterns, to understand the mask making error/OPC model interactions. Several factors, including compact mask topography modeling impact, were analyzed by means of rigorous simulations and model fitting. This was performed on a large-scale data set, to ensure accurate characterization of the OPC modeling strategies, using a large number of patterns.



Entering Mask Process Correction era for EUV mask manufacturing

September 2013

·

20 Reads

·

8 Citations

Proceedings of SPIE - The International Society for Optical Engineering

The 50keV ebeam exposure of EUV blanks leads to additional electron backscattering from the tantalum layer and the mirror portion of the blank substrate that cannot be adequately corrected by in-tool algorithms. Coupling this additional backscatter with process effects, such as develop and etch micro/macro loading, results in significant systematic Critical Dimension (CD) errors for through pitch and linearity patterns on EUV masks. In wafer production EUV masks are targeted as single layer exposure, which requires extremely stringent CD control. The systematic CD errors can easily exceed the CD requirements of a typical EUV mask, facilitating the need for a correction scheme or mask process correction (MPC). AMTC and GLOBALFOUNDRIES have started a program to evaluate MPC solutions and drive improvements. Working closely with companies that provide solutions for ebeam and process modelling along with the corresponding correction, we have completed several iterations of MPC evaluations. Specifically, we have tested different equipment, processes and process partitioning for model calibration including a verification of the results. We report on the results of these evaluations, which include simulation of available models, as well as verification data from mask prints. We conclude by summarizing the current capabilities of available MPC solutions and present the remaining gaps for model and correction accuracy as well as the remaining questions for fully implementing MPC into the process landscape.


A new mask linearity specification for EUV masks based on time dependent dielectric breakdown requirements

September 2013

·

22 Reads

·

3 Citations

Proceedings of SPIE - The International Society for Optical Engineering

When compared to conventional chrome absorber masks, electron beam patterning of EUV masks requires additional corrections to account for intermediate range electron backscattering from the mirror and tantalum based absorber layers. The performance of this Mask Proximity Correction software should not be specified based solely on traditional mask linearity measures. We propose a new mask linearity specification based on Time Dependent Dielectric Breakdown requirements for metal layers.


Evaluation of methods to improve EUV OPC model accuracy

April 2013

·

50 Reads

·

3 Citations

Proceedings of SPIE - The International Society for Optical Engineering

Several methods are evaluated to improve the accuracy of extreme ultraviolet (EUV) lithography OPC models by including additional physical effects which are not commonly used in deep ultraviolet (DUV) OPC. The primary additions to the model in this work are model based corrections for flare and two different corrections for mask shadowing effects, commonly referred to as HV bias. The quantitative, incremental, improvement from each of these additions is reported, and the resulting changes in tape-out flow and OPC runtime are discussed


Choosing the Data Flow Paradigm for EUV Mask Process Corrections

October 2012

·

12 Reads

Proceedings of SPIE - The International Society for Optical Engineering

When compared to conventional chrome absorber masks, electron beam patterning of EUV masks requires additional corrections to account for electron backscattering from the mirror and tantalum (Ta) based absorber layers. Current ebeam systems cannot correct for these additional backscattering effects with in-tool proximity effect correction (PEC) algorithms. Hence new methods of correction are needed, which require an implementation of the correction into the mask writer data prior to exposure. Where these corrections should be performed in the data flow between mask user and mask supplier, and who should calibrate and maintain the corrections is not clear. We present various approaches for model calibration as well as discuss the possible options for inserting mask process correction (MPC) into the mask process landscape. We report on an attempt to calibrate a correction for EUV masks using actual CD data, and an e-beam backscattering model. The resulting Point Spread Functions (PSF) were used to simulate and predict the measured CD data. We also explored the robustness of these models by varying the writing tool and mask blank characteristics. We conclude by recommending an appropriate flow for calibration and use of mask process correction and ownership of the model calibration, maintenance and the data correction processes.


EUV OPC for the 20-nm node and beyond

March 2012

·

87 Reads

·

11 Citations

Proceedings of SPIE - The International Society for Optical Engineering

Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV) lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.

Citations (6)


... Model-based Mask Process Correction (MPC) has become an indispensable data processing step towards achieving the tighter critical dimension control (CD control) requirements on photomasks dictated by ever smaller wafer production nodes [1]. The MPC model for a given mask process is calibrated by using CD-SEM (Critical Dimension Scanning Electron Microscopy) measurements of test-patterns on a calibration mask [2]. Accuracy of the calibrated model for varying layout pattern geometries is important for mask-shops in achieving desired results in the MPC correction step [3]. ...

Reference:

Sensitivity analysis based SEM measurement down-sampling approach for mask process modeling applications
Mask model calibration for MPC applications utilizing shot dose assignment
  • Citing Article
  • October 2014

Proceedings of SPIE - The International Society for Optical Engineering

... However, the shot count is very large, which makes the process expensive. Recently, model-based fracturing (MBF) attracts much attention with its ability to balance the mask fidelity and mask writing cost [20,24], especially with the need to consider the proximity effect of e-beam writers in the current extremely small scale of optical lithography [25]. An algorithm to fracture the curvilinear patterns is developed, though it still relies on the approximation to edge representation [26]. ...

Model-based virtual VSB mask writer verification for efficient mask error checking and optimization prior to MDP
  • Citing Conference Paper
  • October 2014

Proceedings of SPIE - The International Society for Optical Engineering

... As the adoption of EUV lithography has shifted to smaller nodes, understanding the impact of effects like focus, mask process, and through-slit variation in OPC modeling is getting more and more important [1]. The addition of model components in OPC also brings new challenges and complexities in the OPC anchoring and correction flow. ...

Evaluation of methods to improve EUV OPC model accuracy
  • Citing Conference Paper
  • April 2013

Proceedings of SPIE - The International Society for Optical Engineering

... Considering the tight model error budget, aberration induced error in the model is not negligible. We concluded that we need to have accurate models for 3D mask and aberration effects and a correction strategy for the mask process errors [3]. The real challenge for EUV OPC is how to make it work in the hierarchy full-chip computing framework, especially together with flare and across-slit 3D mask and aberration modeling requirements. ...

Entering Mask Process Correction era for EUV mask manufacturing
  • Citing Conference Paper
  • September 2013

Proceedings of SPIE - The International Society for Optical Engineering

... Time dependent dielectric breakdown (TDDB) is the primary driver of many of the MOL and BEOL design rules. After accounting for CDU, including LER, overlay errors, and MEEF, the resulting conductor separation must be sufficient to provide adequate lifetime at the expected operating voltages [5,[27][28][29]. The separation is most important for layers with overlay errors, e.g. ...

A new mask linearity specification for EUV masks based on time dependent dielectric breakdown requirements
  • Citing Conference Paper
  • September 2013

Proceedings of SPIE - The International Society for Optical Engineering

... In the past, a set of approaches were developed to resolve the imaging degradation attributed to flare [13][14][15], while some other methods were used to compensate the effect of shadowing or off-axis incidence [16][17][18][19]. Recently, comprehensive OPC methods incorporating OPE, flare, photoresist, and mask shadowing models in the optimization flow have been proposed so as to jointly consider these effects [5,[20][21][22][23][24][25]. However, most of these EUV OPC approaches are edge-based, which only move the segments of mask edges to optimize the imaging performance. ...

EUV OPC for the 20-nm node and beyond
  • Citing Article
  • March 2012

Proceedings of SPIE - The International Society for Optical Engineering