Article

The Atomic Layer Deposition of HfO2 and ZrO2 using Advanced Metallocene Precursors and H2O as the Oxygen Source

Authors:
To read the full-text of this research, you can request a copy directly from the authors.

Abstract

The atomic layer deposition (ALD) of HfO2 and ZrO2 thin films is investigated using (MeCp)2HfMe2, (MeCp)2Hf(OMe)(Me), (MeCp)2ZrMe2, and (MeCp)2Zr(OMe)(Me) as the precursors at deposition temperatures between 300 and 500 °C, with water vapor as the oxygen source. A self-limiting growth mechanism is confirmed at 350 °C for all the metal precursors examined. The processes provide nearly stoichiometric HfO2 and ZrO2 films with carbon and hydrogen concentrations below 0.5 and 1.0 at.-%, respectively, for representative samples. All films are polycrystalline as deposited, and possess a thin interfacial SiO2 layer. The capacitance-voltage (C-V) and current density-voltage (I-V) behavior is reported and discussed for capacitor structures containing films from this study.

No full-text available

Request Full-text Paper PDF

To read the full-text of this research,
you can request a copy directly from the authors.

... Thus, on the basis of the QCM data and results of post-growth XRF studies (Figs. 2 and 3), we can conclude that CpZr(NMe 2 ) 3 -H 2 O process can be used for ALD at substrate temperatures 120-350°C. This temperature range is similar to that reported for ALD of ZrO 2 from Cp 2 ZrCl 2 and H 2 O [22,23] but is still wider than the corresponding ranges obtained for ALD processes based on Zr(OCMe 3 ) 4 [24][25][26], Zr(OCMe 3 ) 2 (dmae) 2 (dmae = OCH 2 CH 2 NMe 2 ) [27], Cp 2 ZrMe 2 [22], Zr(thd) 4 (thd = 3,3,5,5-tetramethyl-3,5heptanedione) [22], (MeCp) 2 ZrMe 2 [28], (MeCp) 2 Zr(OMe)(Me) [28] or Zr(amd) 4 (where amd = C 8 H 17 N 2 ) [29]. Furthermore, the lowest temperatures that can yield ALD-type growth in the CpZr(NMe 2 ) 3 -H 2 O process are markedly lower than corresponding T G values for the ZrCl 4 -H 2 O process [10][11][12]. ...
... Thus, on the basis of the QCM data and results of post-growth XRF studies (Figs. 2 and 3), we can conclude that CpZr(NMe 2 ) 3 -H 2 O process can be used for ALD at substrate temperatures 120-350°C. This temperature range is similar to that reported for ALD of ZrO 2 from Cp 2 ZrCl 2 and H 2 O [22,23] but is still wider than the corresponding ranges obtained for ALD processes based on Zr(OCMe 3 ) 4 [24][25][26], Zr(OCMe 3 ) 2 (dmae) 2 (dmae = OCH 2 CH 2 NMe 2 ) [27], Cp 2 ZrMe 2 [22], Zr(thd) 4 (thd = 3,3,5,5-tetramethyl-3,5heptanedione) [22], (MeCp) 2 ZrMe 2 [28], (MeCp) 2 Zr(OMe)(Me) [28] or Zr(amd) 4 (where amd = C 8 H 17 N 2 ) [29]. Furthermore, the lowest temperatures that can yield ALD-type growth in the CpZr(NMe 2 ) 3 -H 2 O process are markedly lower than corresponding T G values for the ZrCl 4 -H 2 O process [10][11][12]. ...
... For comparison, no carbon has been detected by the Auger electron spectroscopy method in the films deposited from (MeCp) 2 ZrMe(OBu t ) and H 2 O at 300°C [30]. At the same time the (MeCp) 2 ZrMe(OMe), (MeCp) 2 ZrMe 2 [28] and (MeCp) 2 Zr(OMe)(Me) [28] based processes have yielded films with the carbon concentration below 0.5 at.% only at T G ≥ 350°C. ...
... 1,2 It is usually prepared by chemical vapor deposition of zirconium alkoxide. 3,4 Electrochemical dissolution of metals in absolute alcohols containing a supporting electrolyte has been proved to be a promising synthesis method of metal alkoxides. 5,6 Many researchers synthesized tantalum, zirconium and titanium alkoxides using this method. ...
... With the addition of 2.0% water, the ratio of ZrO 2 to metal Zr increases from 29.7:1 to 50:1, indicating that water increases the thickness of passive film and reduces the porosity. Zirconium metal is an active metal and can reacts with water to form ZrO 2 according to reaction (3). This result is consistent with electrochemical impedance spectroscopy. ...
Article
To understand the role of water on zirconium passivation in n-butanol solutions containing Buⁿ4NBr, composition and corrosion properties of the passive film were studied using cyclic voltammetry, X-ray photoelectron and electrochemical impedance spectroscopy. Zirconium undergoes spontaneous passivation followed by pitting corrosion as a result of passivity breakdown by the aggressive attack of bromide anions. The passive film consists mainly of ZrO2, ZrO2·2H2O and a small amount of zirconium butoxide. The pitting potential shifts positively and pitting corrosion is seriously inhibited with the addition of a small amount of water. Water improves the pitting corrosion resistance of the passive film by changing the thickness and the relative ratio of OH⁻/O²⁻. The result is helpful to electrosynthesize zirconium butoxide with high energy efficiency.
... The target HfO 2 film thickness was 20 nm throughout all experiments. The temperatures were chosen within ALD window as 175, 200, and 225 • C for TEMAHf and 300 • C for HfD-CO4 [31][32][33]. ...
... The growth rate was 0.07 nm/cycle on silicon and 0.09 nm/cycle on aluminum, at 300 • C for films grown with HfD-CO4. In terms of growth per cycle, the results are consistent with previous reports [31][32][33], which showed that HfD-CO4 process has lower growth rate in comparison with TEMAHf. The difference of the growth rate with HfD-CO4 on silicon and aluminum substrates is most likely due to different crystallization behavior on two substrates and the corresponding active surface sites needed for HfO 2 nucleation. ...
... For growth with O 3 , Niinistö et al. have reported a GPC in the range 0.5 -0.6 Å /cycle in the temperature range 300-500 C, 9 while Fischer et al. have reported a GPC of $0.35 Å /cycle in a batch ALD system. 10 Growth with H 2 O for this precursor has also been reported and, for relatively thick films (>100 Å ), a GPC of $0.5 Å /cycle was reported in the temperature range 350-450 C. 11 In our study, presented herein, we investigated the use of these Cp precursors, which are shown schematically in Fig. 1, and made a direct comparison with the conventional TEMAH/H 2 O process as well as the TEMAH/H 2 O process using an optimized annealing scheme. a) Author to whom correspondence should be addressed; electronic mail: steve.consiglio@us.tel.com ...
... For instance, Elam et al. 18 and Knapas et al. 19 have published detailed studies on the reaction mechanism of this process with the Zr analog, (CpMe) 2 Zr(OMe)Me, which is chemically similar to the precursor we are using and thus should have similar a reaction mechanism. Moreover, Dezelah IV et al. 11 have published results on the ALD growth of HfO 2 films using the (CpMe) 2 Hf(OMe)Me/H 2 O process where a GPC of 0.5 Å /cycle was obtained. It is worth pointing out that in our study, we used a solvent in order to deliver the (CpMe) 2 Hf(OMe)Me precursor in our direct liquid injection (DLI) system and the other published reports all involved a bubbling type delivery (heated source with carrier flow) of neat precursor. ...
Article
Full-text available
For the purpose of extending the upper temperature limit of metallorganic atomic layer deposition, mixed ligand precursors containing cyclopentadienyl (Cp, C5H5) ligands have been shown to exhibitsuperior thermal stability compared to the widely adopted tetrakis(ethylmethylamino)hafnium (TEMAH) precursor while also possessing adequate vapor pressure characteristics for use in atomic layer deposition (ALD) processing. In order to prevent the deleterious oxidation of the underlying Si from O3 the use of a milder oxidant such as H2O is preferred. Accordingly in this study, we investigated ALD using the liquid precursors CpHf(NMe2)3 and (CpMe)2Hf(OMe)Me in the temperature range 305 – 410 °C with H2O as a co-reactant and compared the film growth and electrical properties with films deposited using a conventional TEMAH/H2O process at 305 °C as well as the same process with an optimized annealing scheme. The CpHf(NMe2)3/H2O process was observed toexhibit a growth-per-cycle (GPC) in the range 0.23 – 0.36 Å/cycle which is roughly half that of the TEMAH/H2O process (∼0.6 Å/cycle). In the 340 – 375 °C range this process is comparable toTEMAH/H2O in terms of equivalent oxide thickness but with slightly higher leakage. Even thoughthis process allows for higher processing temperatures it is not able to scale to the level of theTEMAH/H2O process using an optimized annealing scheme. For (CpMe)2Hf(OMe)Me withH2O,in the process space investigated in this study this process was unable to afford a viable growth rate (<0.03 Å/cycle) for device testing although a GPC of 0.33 Å/cycle was obtained using O3.
... Currently, using high-κ gate dielectrics to replace SiO 2 is an effective method for solving the problem. Because of the high dielectric constant and thermodynamic stability, zirconium oxide (ZrO 2 ) has been used as gate dielectrics via the ALD method for MOSFET devices (Gaskell et al., 2007;Dezelah IV et al., 2008;Kaipio et al., 2014;Jung et al., 2015;Kanomata et al., 2016;Mahuli et al., 2021;Xu et al., 2021). ...
Article
Full-text available
As a unique nanofabrication technology, atomic layer deposition (ALD) has been widely used for the preparation of various materials in the fields of microelectronics, energy and catalysis. As a high-κ gate dielectric to replace SiO 2 , zirconium oxide (ZrO 2 ) has been prepared through the ALD method for microelectronic devices. In this work, through density functional theory calculations, the possible reaction pathways of ZrO 2 ALD using tetrakis(dimethylamino)zirconium (TDMAZ) and water as the precursors were explored. The whole ZrO 2 ALD reaction could be divided into two sequential reactions, TDMAZ and H 2 O reactions. In the TDMAZ reaction on the hydroxylated surface, the dimethylamino group of TDMAZ could be directly eliminated by substitution and ligand exchange reactions with the hydroxyl group on the surface to form dimethylamine (HN(CH 3 ) 2 ). In the H 2 O reaction with the aminated surface, the reaction process is much more complex than the TDMAZ reaction. These reactions mainly include ligand exchange reactions between the dimethylamino group of TDMAZ and H 2 O and coupling reactions for the formation of the bridged products and the by-product of H 2 O or HN(CH 3 ) 2 . These insights into surface reaction mechanism of ZrO 2 ALD can provide theoretical guidance for the precursor design and improving ALD preparation of other oxides and zirconium compounds, which are based ALD reaction mechanism.
... 7 However, in thin films, tetragonal, cubic, and orthorhombic ZrO 2 have been identified. [8][9][10] The effect of the surface energy is enhanced in thin films, making the appearance of high pressure and temperature phases possible. ...
Article
Three heteroleptic Zr precursors were studied for atomic layer deposition (ALD) of ZrO2. Films were deposited from Zr(Cp)(tBuDAD)(OⁱPr), Zr(MeCp)(TMEA), and Zr(Me5Cp)(TEA) with either water or ozone as the oxygen source {tBuDAD = N,N-bis(tertbutyl)ethene-1,2-diaminato, TMEA = tris[2-(methylamino)ethyl]aminate, TEA = triethoanolaminate}. Self-limiting film growth was confirmed for the Zr(Cp)(tBuDAD)(OⁱPr)/O3 process at 250 °C and for the Zr(Me5Cp)(TEA)/O3 process at 375 °C, which is among the highest temperatures for advanced heteroleptic precursors. Excellent film purity with C, H, and N levels below the detection limit of the elastic recoil detection analysis was obtained with ozone as the oxygen source. All the studied processes showed the same trend that at low deposition temperatures films were tetragonal ZrO2 and at higher temperatures mixtures of tetragonal and monoclinic ZrO2. With water, the monoclinic phase appeared at higher temperatures than with ozone. In addition to the deposition temperature, the film thickness affected the phase; thinner films favored the tetragonal phase and monoclinic peaks were more clearly seen in thicker films. The high thermal stability and excellent film purity show that from the three studied Zr precursors, Zr(Me5Cp)(TEA) is a noteworthy precursor candidate for ALD of ZrO2.
... The R-150 deposition chamber was also operated at a pressure of 2 Torr with a constant flow of N 2 into which the dosing pulses were introduced. Al 2 O 3 films were deposited at 300°C using trimethylaluminum (TMA) and H 2 O. HfO 2 films were deposited at 350°C using bis(methylcyclopentadienyl)methoxymethyl hafnium and H 2 O. 28 Immediately prior to ALD, p-type Si substrates (10 15 cm −3 boron doped) from SUMCO were prepared using an RCA cleaning procedure consisting of (i) soak in a 1: 10 were acquired from KMG and are semiconductor grade with impurity levels of less than 10 ppb for most trace metals. This cleaning process chemically oxidizes the Si surface, resulting in the formation of an approximately 3.5 nm thick layer of SiO 2 . ...
... The R-150 deposition chamber was also operated at a pressure of 2 Torr with a constant flow of N 2 into which the dosing pulses were introduced. Al 2 O 3 films were deposited at 300°C using trimethylaluminum (TMA) and H 2 O. HfO 2 films were deposited at 350°C using bis(methylcyclopentadienyl)methoxymethyl hafnium and H 2 O. 28 Immediately prior to ALD, p-type Si substrates (10 15 cm −3 boron doped) from SUMCO were prepared using an RCA cleaning procedure consisting of (i) soak in a 1: 10 were acquired from KMG and are semiconductor grade with impurity levels of less than 10 ppb for most trace metals. This cleaning process chemically oxidizes the Si surface, resulting in the formation of an approximately 3.5 nm thick layer of SiO 2 . ...
... The R-150 deposition chamber was also operated at a pressure of 2 Torr with a constant flow of N 2 into which the dosing pulses were introduced. Al 2 O 3 films were deposited at 300°C using trimethylaluminum (TMA) and H 2 O. HfO 2 films were deposited at 350°C using bis(methylcyclopentadienyl)methoxymethyl hafnium and H 2 O. 28 Immediately prior to ALD, p-type Si substrates (10 15 cm −3 boron doped) from SUMCO were prepared using an RCA cleaning procedure consisting of (i) soak in a 1: 10 were acquired from KMG and are semiconductor grade with impurity levels of less than 10 ppb for most trace metals. This cleaning process chemically oxidizes the Si surface, resulting in the formation of an approximately 3.5 nm thick layer of SiO 2 . ...
Article
Atomic layer deposition (ALD) processes are reported for ruthenium (Ru) and ruthenium oxide (RuO2) using a zero oxidation state liquid precursor, η⁴-2,3-dimethylbutadiene ruthenium tricarbonyl [Ru(DMBD)(CO)3]. Both ALD Ru and RuO2 films were deposited using alternating N2-purge-separated pulses of Ru(DMBD)(CO)3 and O2. ALD Ru metal films were deposited via short (2 s) pulses of O2. Ru films have an ALD temperature window between 290 to 320°C with a GPC of 0.067 nm/cycle and negligible nucleation delay on SiO2. Ru films show a strong hexagonal crystal structure with low resistivity of approximately 14 μΩ·cm at 320°C. RuO2 films were deposited using longer (20 s) pulses of either molecular O2 or O2 plasma. RuO2 films deposited via thermal ALD using molecular O2 have a temperature window between 220 to 240°C with a GPC and nucleation delay on SiO2 of 0.065 nm/cycle and 35 cycles, respectively. Thermal ALD RuO2 films show a distinct rutile phase microstructure with a resistivity of approximately 62 μΩ·cm. In comparison to thermal ALD, the PEALD RuOx films show a lower growth rate and higher nucleation delay of 0.029 nm/cycle and 76 cycles, respectively. PEALD RuOx films also exhibit less distinct crystallinity and a higher resistivity of 377 μΩ·cm.
... For a given temperature, the critical thickness of the grains is in the sequence of h à criticalÀHfO 2 ð100Þ > h à criticalÀHfO 2 ð001Þ > h à criticalÀHfO 2 ð 111Þ as a result of the gradually reduced surface energies of 1.79, 1.45, and 1.04 J/m 2 for (100)-, (001)-, and ( 111)-oriented HfO 2 at 300 K, respectively, 28 which is consistent with the significant preferential ordering of ( 111) crystallites. 42 Similar results are found for c-Al 2 O 3 with the surface energies of 2.54, 1.94, and 0.88 J/m 2 for films oriented along the (110), (100), and (111) planes, respectively. 43 Because the driving force in HfO 2 thin films is larger than that in Al 2 O 3 thin films between the amorphous and crystalline states [ Fig. 4(c)], the critical thickness of HfO 2 is less than that of Al 2 O 3 . ...
Article
Full-text available
Atomic layer deposition is adopted to prepare HfO2 and Al2O3 high-k thin films. The HfO2 thin films are amorphous at the initial growth stage, but become crystallized when the film thickness (h) exceeds a critical value (h critical). This phase transition from amorphous to crystalline is enhanced at higher temperatures and is discussed, taking into account the effect of kinetic energy. At lower temperatures, the amorphous state can be maintained even when h > h� critical owing to the small number of activated atoms. However, the number of activated atoms increases with the temperature, allowing crystallization to occur even in films with smaller thickness. The Al2O3 thin films, on the other hand, maintain their amorphous state independent of the film thickness and temperature owing to the limited number of activated atoms. A thermodynamic model is proposed to describe the thickness-dependent phase transition.
... The performance of silicon ULSI circuits depends on the capability of the MOSFET, especially the processing speed and electrical power dissipation which are dependent on the geometrical size of MOSFET. In order to reduce the gate leakage current, and also to maximize gate capacitance, recent efforts have been made to replace gate silicon-oxides (SiO 2 ) and oxynitrides (SiON) with high-k materials such as HfO 2 [1], ZrO 2 [2], LaAlO 3 [3], TiO 2 [4], Al 2 O 3 [5,6] and CeO 2 [7]. Thin films of high-k dielectrics possesses properties such as high thermal stability, high dielectric constants (k ~ 10-80) and large band gap (> 5.6 eV) that makes them applicable in the field of advanced CMOS technology. ...
Article
Full-text available
The high dielectric constant (high-k) thin film of Al 2O 3 was deposited by using Plasma enhanced atomic layer deposition (PE-ALD) technique. The electron beam evaporation system was used to deposit the Pt-Ti metal to fabricate the Pt-Ti/Al 2O 3/Si MOS capacitors. Thickness measurement of Al 2O 3 gate dielectric was carried out with variable angle spectroscopic ellipsometry, which is measured to be 2.83 nm. The MOS capacitors were characterized to evaluate the electrical properties using capacitance voltage (C-V) analyzer at different measurement frequencies. Capacitance voltage measurement shows that, dielectric constant k ranges from 7.87 to 10.44. In CV curve a slight negative shift is observed in the flatband voltage because of presence of trap charges in the Al 2O 3 MOS capacitor. A lower equivalent oxide thickness (EOT) of 1.057 nm is obtained for the fabricated Pt-Ti/Al2O3/Si MOS capacitors.
Article
Full-text available
As an important inorganic material, zirconium dioxide (ZrO2) has a wide range of applications in the fields of microelectronics, coating, catalysis and energy. Due to its high dielectric constant and thermodynamic stability, ZrO2 can be used as dielectric material to replace traditional silicon dioxide. Currently, ZrO2 dielectric films can be prepared by atomic layer deposition (ALD) using water and zirconium precursors, namely H2O-based ALD. Through density functional theory (DFT) calculations and first-principles molecular dynamics (FPMD) simulations, the adsorption and dissociation of water molecule on the ZrO2 surface and the water–solid interface reaction were investigated. The results showed that the ZrO2 (111) surface has four Lewis acid active sites with different coordination environments for the adsorption and dissociation of water. The Zr atom on the surface can interacted with the O atom of the water molecule via the p orbital of the O atom and the d orbital of the Zr atom. The water molecules could be dissociated via the water–solid interface reaction of the first or second layer of water molecules with the ZrO2 (111) surface. These insights into the adsorption and dissociation of water and the water–solid interface reaction on the ZrO2 surface could also provide a reference for the water–solid interface behavior of metal oxides, such as H2O-based ALD.
Article
The atomic layer deposition (ALD) of hafnium oxide (HfO2) thin film has attracted considerable attention in experimental studies owing to its crucial role in downscaling semiconductor devices. Herein, we theoretically investigated the reaction mechanisms during the ALD of HfO2 thin film (HfO2–ALD) on silicon (Si) surface using tetrakis(ethylmethylamino) hafnium (TEMAH) and water. Two surface models, fully and partially hydroxylated Si(100) surfaces, were used to investigate the effects of different hydroxyl group concentrations. The fully hydroxylated Si(100) surface was more effective for HfO2–ALD than the partially hydroxylated Si(100) surface. During the precursor pulse, ligand-exchange reactions (LERs) on the fully hydroxylated Si(100) surface converted TEMAH into unsaturated Hf atoms with low energy barriers in the range of 12.6–25.8 kJ/mol, while mono(ethylmethylamino) hafnium (MEMAH) was obtained as the final product on the partially hydroxylated Si(100) surface. The remaining ligand of the adsorbed MEMAH could be removed via LER with water during the water pulse. Our investigation is the first to present the mechanism of surface reactions during HfO2–ALD. This work not only provides a better understanding of the conversion of TEAMH into Hf atom on the surface but also offers us more insights into the role of surface hydroxyls in the formation of HfO2 thin films.
Chapter
Atomic layer deposition (ALD) is a thin film growth technique belonging to the chemical vapor phase processing methods. It is based on self-terminating surface reactions of gaseous precursors, a metal-containing one and a coreactant (an oxidant agent for metal oxide deposition), delivered separately onto the substrate. The typical ALD process temperature varies from room temperature up to 400°C, relatively low in respect to the chemical vapor deposition (CVD) process, making ALD compatible with thermal budgets typical of CMOS processes. Together with metal precursors, coreactants for oxide deposition are volatile oxygen sources, reactive enough at the deposition temperature to oxidize the metal precursor and to restore the initial surface groups. ALD allows the choice of a large variety of precursors and low deposition temperature range, and enables the deposition of very thin and conformal films with a precise control of stoichiometry.
Article
Devices using silicon-based materials have been studied and developed by the semiconductor industry. With silicon-based materials reaching their performance limit, there have been attempts to develop and discover alternative materials. Recently, HfO2 thin films have been considered a candidate material because of their diverse characteristics and potential for application in future memory devices. High-k-gate dielectric-based HfO2 thin films can replace silicon-based gate oxide layers. Moreover, HfO2 has been reported to possess ferroelectric properties in polycrystalline films, as also seen in memory devices. Hence, it is important to analyze the phase, structure, and crystallinity of HfO2 to confirm its ferroelectric properties; however, it has been challenging to do the same for pure HfO2 thus far. HfO2 thin films are ferroelectric in their orthorhombic or rhombohedral phase. The epitaxial growth of HfO2 thin films makes it possible to analyze the properties of each phase. Following the first report in 2015 on the epitaxial growth of HfO2 films, researchers have extensively studied their growth methods, structural and ferroelectric properties, phases, and application potential for future memory devices. This review summarizes the crystal structure, phases, deposition methods, and epitaxial growth mechanism of HfO2 thin films, as well as devices based on them. The findings will aid in next-generation device research.
Article
The resistivity, morphology, and effective work function of thin film ruthenium deposited by thermal atomic layer deposition (ALD) using η⁴-2,3-dimethylbutadiene ruthenium tricarbonyl [Ru(DMBD)(CO)3] and O2 are investigated before and after annealing at temperatures up to 500 °C. Annealing at 500 °C in either N2 or H2/N2 reduces the average resistivity of as-deposited 30 nm thick Ru films from 16.2 to as low as 13.7 or 9.1 μΩ cm, respectively, approaching the bulk value of Ru. X-ray diffraction shows that as-deposited films are polycrystalline hexagonal Ru. Annealing at 500 °C in either N2 or H2/N2 results in crystallite growth accompanied by a roughening of the surface from approximately 0.7 to 2.2 nm RMS, as shown by atomic force microscopy. Secondary ion mass spectroscopy shows low residual carbon and oxygen in as-deposited films. Annealing in N2 at 500 °C reduces only the carbon content, whereas annealing in H2/N2 at 500 °C results in a further reduction of carbon combined with reduction in oxygen as well. Using series of metal/oxide/silicon capacitors with varying oxide thickness, the effective work function of 500 °C H2/N2 annealed Ru films on ALD Al2O3 and HfO2 was determined to be approximately 4.9 and 5.3 eV, respectively. Using internal photoemission spectroscopy, the Ru/Al2O3 and Ru/HfO2 electron energy barrier heights were determined to be 3.4 ± 0.1 and 3.8 ± 0.1 eV, respectively.
Article
HfO2 has promising applications in semiconductors and optics due to its high dielectric constant and high refractive index. In this work, HfO2 thin films were deposited by plasma enhanced atomic layer deposition (PEALD) using tetrakis-dimethylamino hafnium (TDMAH) and oxygen plasma. The process optimization to obtain high quality HfO2 thin films with excellent uniformity over a 200 mm diameter is thoroughly discussed. The effects of deposition temperature and plasma parameters on the structural, mechanical, and optical properties, and the chemical composition of the films were investigated. Optimized process parameters yielding a high refractive index, high density, low impurities, low OH incorporation, low absorption in the UV spectral range, and high laser-induced damage threshold (LIDT) were selected for antireflection coatings. The HfO2 thin films were incorporated into antireflection coatings designed for the fundamental wavelength at 1064 nm and its higher harmonics up to the 4th order.
Article
A bottom-up approach starting with the development of new Hf precursors for plasma-enhanced atomic layer deposition (PEALD) processes for HfO2 followed by in situ thin-film surface characterization of HfO2 upon exposure to reactive gases via near-ambient-pressure X-ray photoelectron spectroscopy (NAP-XPS) is reported. The stability of thin films under simulated operational conditions is assessed, and the successful implementation of HfO2 dielectric layers in metal-insulator-semiconductor (MIS) capacitors is demonstrated. Among the series of newly synthesized mono-guanidinato-tris-dialkyl-amido class of Hf precursors, one of them, namely, [Hf{η2-( i PrN)2CNEtMe}(NEtMe)3], was representatively utilized with oxygen plasma, resulting in a highly promising low-temperature PEALD process at 60 °C. The new precursors were synthesized in the multigram scale and thoroughly characterized by thermogravimetric analyses, revealing high and tunable volatility reflected by appreciable vapor pressures and accompanied by thermal stability. Typical ALD growth characteristics in terms of linearity, saturation, and a broad ALD window with constant growth of 1.06 Å cycle-1 in the temperature range of 60-240 °C render this process very promising for fabricating high-purity smooth HfO2 layers. For the first time, NAP-XPS surface studies on selected HfO2 layers are reported upon exposure to reactive H2, O2, and H2O atmospheres at temperatures of up to 500 °C revealing remarkable stability against degradation. This can be attributed to the absence of surface defects and vacancies. On the basis of these promising results, PEALD-grown HfO2 films were used as dielectric layers in the MIS capacitor device fabrication exhibiting leakage current densities less than 10-7 A cm-2 at 2 MV cm-1 and permittivities of up to 13.9 without postannealing.
Article
Anodic dissolution of zirconium in Buⁿ4NBr-containing n-butanol solutions was investigated using cyclic voltammetry, linear sweep voltammetry and potentiostatic current-time transient and scanning electron microscopy (SEM). Zirconium did not exhibit active dissolution due to passivation in the potential region of 0.2 to 1.2V vs. SCE. The zirconium dissolution in passive state was under mass transfer control. Pitting corrosion took place as a result of passivity breakdown by Br⁻ anions and the typical steady-state pitting potential was between 1.55-1.60V vs. SCE in n-butanol solutions containing 0.08M Buⁿ4NBr. SEM images confirmed the occurrence and the intensification of pits with an increase of potential. Increasing Buⁿ4NBr concentration accelerated the pit nucleation and growth. The reaction order leading to pit nucleation process was 1.0 with respect to bromide anions. The dissolution of zirconium in n-butanol solution produced Zr(OC4H9)4.
Article
Anodic dissolution behavior of zirconium in Buⁿ4NBr-contaning isopropanol solution was investigated using cyclic voltammetry, linear sweep voltammetry and chronoamperometry, complemented with a scanning electron microscope (SEM). The voltammograms did not exhibit active dissolution until the breakdown of passive layer induced by aggressive bromide anions. SEM images confirmed the existence of pits on zirconium surface. The depth and breadth of pits were intensified with increasing potential. The pitting potential shifted negatively as either temperature or Buⁿ4NBr concentration was increased, while it increased with increasing scan rate. The corrosion current density increased with increasing temperature. The apparent activation energy of anodic dissolution of zirconium was 21.88 kJ/mol. The chronoamperometry revealed that increasing Buⁿ4NBr concentration shortened the incubation time for passivity breakdown and accelerated the pit nucleation and growth. The experimental results were helpful to obtain the optimum conditions for electrosynthesis of zirconium isopropoxide.
Article
The initial reaction mechanisms for depositing ZrO2 thin films using ansa-metallocene zirconium (Cp2CMe2)ZrMe2 precursor were studied by density functional theory (DFT) calculations. The (Cp2CMe2)ZrMe2 precursor could be absorbed on the hydroxylated Si(1 0 0) surface via physisorption. Possible reaction pathways of (Cp2CMe2)ZrMe2 were proposed. For each reaction, the activation energies and reaction energies were compared, and stationary points along the reaction pathways were shown. In addition, the influence of dispersion effects on the reactions was evaluated by non-local dispersion corrected DFT calculations.
Article
An approach to achieve improved performance in pentacene-based organic field effect transistor (OFET) using high-k AlOx prepared by a low temperature sol-gel technique as a thin buffer layer on SiO2 gate dielectric was demonstrated. The maximum processing temperature for the AlOx thin layer was 150 °C. The resulting all-inorganic SiO2/AlOx bilayer gate dielectric system exhibited a low leakage current density < 1×10-8A/cm2 under an applied electric field strength of 1.8 MV/cm, a smooth surface with an rms of 0.11 nm and an equivalent dielectric constant (k) of 4.13. The OFET fabricated as a result of this surface modification exhibited a significantly improved field effect mobility of 4.64 cm2/Vs when compared with a reference device with SiO2 single layer gate dielectric which had a lower mobility of 1.50 cm2/Vs.
Article
The synthesis and structural characterization of new tantalum(V) compounds containing a single hydrazido(1) ligand are reported. Hydrazinolysis of TaCl(NMe2)(4) using trimethylsilyl(dimethyl)hydrazine affords the compound TaCl(NMe2)(3)[N(TMS)NMe2] in essentially quantitative yield. Metathetical replacement of the chloride ligand in TaCl(NMe2)(3)]N(TMS)NMe2] by LiNMe2 gives the all-nitrogen coordinated compound Ta(NMe2)(4)[N(TMS)NMe2]. VT H-1 NMR studies support the existence of low-energy pathways involving rotation about the Ta-N bonds of the ancillary amido and hydrazido ligands in both hydrazido-substituted compounds. X-ray crystallographic analyses confirm the octahedral disposition about the tantalum metal in TaCl(NMe2)(3)[N(TMS)NMe2] and Ta(NMe2)(4)[N(TMS)NMe2] and the presence of an mu(2)-hydrazido(1) ligand. Preliminary data using Ta(NMe2)(4)[N(TMS)NMe2] as an ALD precursor for the preparation of tantalum nitride and tantalum oxide thin films are presented.
Article
Dynamic random access memory (DRAM) works as the main memory in every modern computer, from high-end server computers to simple hand-held devices. Computing in any computer requires two key information sets; programs, and the data to program with. Both sets are stored as a form of 'bits' in the core memory part of a computer. Any computed output that comes from these actions is also stored as a data set within different parts of the memories that comprise the computer. Up to now, the conventional hard disk has been the primary memory element for all data storage (program and user data). © 2014 Springer Science+Business Media New York. All rights are reserved.
Article
Atomic layer deposition (ALD) of ZrO2 thin films was investigated using a linked cyclopentadienyl-amido compound of zirconium, {η5:η1-Cp(CH2)3NMe}Zr(NMe2)2 with ozone. The ALD-window was established as 300-380 °C with a growth rate of about 0.95 Å/cycle. A good film conformality of 0.95 for 5.7-6.1 nm-thick film was obtained for bottom and sidewall step coverages of 0.93 and 0.95, respectively. The ALD at 330 °C yielded a ZrO2 having good crystallinity. The film showed low impurity levels and a strong tendency to form the tetragonal/cubic phases despite a low film thickness of 8.58 nm (root-mean-square roughness = 0.49 nm). Better ALD performance was obtained with this linked precursor than with the commonly used CpZr(NMe2)3 precursor. Furthermore, theoretical calculations for the ALD processes on hydroxylated Si wafer surfaces were performed by using density function theory. Initial growth mechanism of ZrO2 from CpZr(NMe2)3 and {η5:η1-Cp(CH2)3NMe}Zr(NMe2)2 were proposed on atomic-scale structure.
Article
Zr(NR2)2[MeC(N i Pr)2]2 (R=Me, 1; Et, 2) have been prepared through aminolysis and their reactions with O2 and water have been studied. Two major products from the reactions are the oxo dimer {(μ-O)Zr[MeC(N i Pr)2]2}2 (3) and its insoluble polymer {(μ-O)Zr[MeC(N i Pr)2]2} n (4). Over time the dimer 3 polymerizes to 4. Zr peroxo trimer {(μ-η2:η2-O2)Zr[MeC(N i Pr)2]2}3 (5) was also observed from the reaction of 1 with O2 and its crystal structure is reported. DFT calculations show that the reaction of 1 with O2 follows a radical process, yielding the peroxo trimer 5. Mass spectrometric studies of the reactions of water in air with 1 and 2 show the formation of the oxo monomer (O=)Zr[MeC(N i Pr)2]2 (6), oxo dimer {(μ-O)Zr[MeC(N i Pr)2]2}2 (3), and the dihydroxy monomer (HO)2Zr[MeC(N i Pr)2]2 (7). In addition, the cations {Zr(NR2)[MeC(N i Pr)2]2}+ (R=Me, Et) were observed. 2 revealed an interesting dynamic NMR behavior. Variable-temperature (VT) NMR spectroscopy has been used to study the Bailar twist process in 2, giving activation parameters δH ‡ =10.9(1.1)kcalmol-1, δS ‡ =-11(4)eu and δG ‡303 K =14(2)kcalmol-1.
Chapter
Since the first edition was published in 2008, Atomic Layer Deposition (ALD) has emerged as a powerful, and sometimes preferred, deposition technology. The new edition of this groundbreaking monograph is the first text to review the subject of ALD comprehensively from a practical perspective. It covers ALD's application to microelectronics (MEMS) and nanotechnology; many important new and emerging applications; thermal processes for ALD growth of nanometer thick films of semiconductors, oxides, metals and nitrides; and the formation of organic and hybrid materials.
Article
The initial growth mechanisms of atomic layer deposition (ALD) of ZrO2 and TiO2 thin films using cycloheptatrienyl (CHT)-cyclopentadienyl (Cp) precursors on SiOH surface have been investigated theoretically. The reactions of CpMeZr(CHT) and CpTi(CHT) with SiOH surface proceed through similar reaction pathways. The reaction of CpTi(CHT) requires much more energies than that of CpMeZr(CHT). Chemisorption of the CHT-Cp precursors on SiOH surface could not be found. The most stable adsorption states of both CpMeZr(CHT) and CpTi(CHT) are formed via CHT rings. The previous experiments have shown that an ALD-window has been established when depositing ZrO2 thin films, but has not been established when depositing TiO2 thin films. Our calculation results show that the adsorption of CpMeZr(CHT) is energetically favorable, but the adsorption of CpTi(CHT) is thermodynamic unfavorable. One theoretical explanation is given for this observed difference from the viewpoint of precursor adsorption.
Article
Full-text available
The electrochemical behaviors of hafnium (Hf) in Et4NBr ethanol solutions were investigated using cyclic voltammetry, potentiodynamic polarization, chronoamperometry, impedance and SEM techniques. The potentiodynamic anodic polarization curves did not exhibit an active dissolution region near the corrosion potential due to the presence of an oxide film on the electrode surface, which was followed by pitting corrosion as a result of the passivity breakdown by the aggressive attack of the bromide ion (Br−). The pitting potential (Eb) shifted to more positive values with increasing the scanning rate, but in a more negative direction with increasing the solution temperature and Br− concentration. The apparent activation energy was calculated as 27.074 kJ mol−1 by Tafel extrapolation. The current–time transients indicated that the incubation time for passivity breakdown decreased slightly with increasing Br− concentration and applied positive potential. The impedance spectra showed that the resistance of the passive layer and charge transfer decreased with an increase in Br− concentration and applied positive potential, while the resistance of the solution was nearly constant with increasing applied positive potential and decreased with increasing Br− concentration. The Hf surface morphology and the growth of pitting process were studied by SEM and the images confirmed the existence of pits on the Hf surface.
Article
The properties of metal oxides with high dielectric constant (k) are being extensively studied for use as gate dielectric alternatives to silicon dioxide (SiO2). Despite their attractive properties, these high-k dielectrics are usually manufactured using costly vacuum-based techniques. In that respect, recent research has been focused on the development of alternative deposition methods based on solution-processable metal oxides. Here, the application of the spray pyrolysis (SP) technique for processing high-quality hafnium oxide (HfO2) gate dielectrics and their implementation in thin film transistors employing spray-coated zinc oxide (ZnO) semiconducting channels are reported. The films are studied by means of admittance spectroscopy, atomic force microscopy, X-ray diffraction, UV–Visible absorption spectroscopy, FTIR, spectroscopic ellipsometry, and field-effect measurements. Analyses reveal polycrystalline HfO2 layers of monoclinic structure that exhibit wide band gap (≈5.7 eV), low roughness (≈0.8 nm), high dielectric constant (k ≈ 18.8), and high breakdown voltage (≈2.7 MV/cm). Thin film transistors based on HfO2/ZnO stacks exhibit excellent electron transport characteristics with low operating voltages (≈6 V), high on/off current modulation ratio (∼107) and electron mobility in excess of 40 cm2 V−1 s−1.
Article
Recently, enormous interest has been focused on the nanofabrication of optical micro-and nanocavities for applications in lab-on-a-chip and quantum optics. At the same time, the atomic layer deposition (ALD) process presents several advantages for the fabrication and modification of micro-and nanostructures because of its atomic level thickness fine-tuning and perfect coating conformability in three-dimensional (3D) structures. Hence, ALD technology has been directed into the field of optical microcavities for the tracking and tuning of their properties. In this short review, we will summarize recent progress in the application of ALD on optical microcavities. Firstly, we will briefly introduce ALD technology and emphasize its distinctive features when applied to optical microcavities. Then, various microcavities such as photonic crystals, opals, and tubular microcavities will be illustrated to demonstrate their development with the assistance of ALD technology. Such an influential manufacturing tool for optical devices could inspire numerous interesting applications, as concluded in the final part.
Article
Full-text available
In this study the atomic layer deposition (ALD) of TiO2 and ZrO2 using two heteroleptic amido-guanidinate precursors, [Ti(NEtMe)3(guan-NEtMe)] and [Zr(NEtMe)3(guan-NEtMe)], together with water or ozone as oxygen sources, are investigated. All processes exhibit self-limiting growth at a deposition temperature of 275°C. The zirconium precursor especially gives high growth rates (0.8/1.0 Å per cycle with H2O/O3). The films are also relatively smooth, as determined by atomic force microscopy (AFM). The composition of the films is examined using X-ray photoelectron spectroscopy (XPS) and time of flight elastic recoil detection analysis (TOF-ERDA). When using ozone as the oxygen source the films present very high purity. The results are compared and discussed with respect to earlier studies on guanidinate, as well as homoleptic amido precursors.
Article
The atomic layer deposition (ALD) process, an alternative to CVD, is universally appreciated for its unique advantages such as excellent repeatability, conformity, and thickness control at the atomic level. ALD precursor chemistry has mainly been based on homoleptic compounds such as, but not limited to, metal halides, alkylamides, and alkoxides, however these precursors have drawbacks such as possible halide contamination and low thermal stabilities in the case of the alkylamides and alkoxides. Consequently, heteroleptic precursors have been investigated as alternatives to the existing homoleptic counterparts, leading to the development of several advantageous processes. Nevertheless, there is no thematic review dedicated to the heteroleptic precursors and their properties, and it seems that no coherent strategy has been adopted for the development of heteroleptic precursors. This review gives a brief description of ALD and presents studies on the deposition of thin films of groups 4 and 5 metal oxides using ALD. A description of the general ALD properties of homoleptic precursors, in addition to a review on the thermal ALD of groups 4 and 5 metal oxides from heteroleptic precursors, is provided. Trends in the properties of heteroleptic ALD precursors, based on the literature review and recent experimental data, are discussed.
Article
Full-text available
Atomic layer deposition (ALD) is gaining attention as a thin film deposition method, uniquely suitable for depositing uniform and conformal films on complex three-dimensional topographies. The deposition of a film of a given material by ALD relies on the successive, separated, and self-terminating gas–solid reactions of typically two gaseous reactants. Hundreds of ALD chemistries have been found for depositing a variety of materials during the past decades, mostly for inorganic materials but lately also for organic and inorganic–organic hybrid compounds. One factor that often dictates the properties of ALD films in actual applications is the crystallinity of the grown film: Is the material amorphous or, if it is crystalline, which phase(s) is (are) present. In this thematic review, we first describe the basics of ALD, summarize the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD [R. L. Puurunen, J. Appl. Phys. 97, 121301 (2005)], and give an overview of the status of processing ternary compounds by ALD. We then proceed to analyze the published experimental data for information on the crystallinity and phase of inorganic materials deposited by ALD from different reactants at different temperatures. The data are collected for films in their as-deposited state and tabulated for easy reference. Case studies are presented to illustrate the effect of different process parameters on crystallinity for representative materials: aluminium oxide, zirconium oxide, zinc oxide, titanium nitride, zinc zulfide, and ruthenium. Finally, we discuss the general trends in the development of film crystallinity as function of ALD process parameters. The authors hope that this review will help newcomers to ALD to familiarize themselves with the complex world of crystalline ALD films and, at the same time, serve for the expert as a handbook-type reference source on ALD processes and film crystallinity.
Article
This work reports on the structural and dielectric properties of high-k Ti and Er co-doped HfO2 (HfTiErOx) gate dielectrics deposited on Si(1 0 0) substrates by RF sputtering. Results indicate that the capacitance value of HfTiErOx gate dielectric at 100 °C substrate temperature is higher and exhibits a lower hysteresis voltage as well as interface trap density compared to HfO2, HfErOx and HfTiOx films at the same conditions in capacitance–voltage (C–V) curves. Furthermore, the structural, chemical compositions and photonics properties of these films have been explored by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Photo luminous (PL) measurements. The results are well attributed to crystalline HfTiErOx microstructure thus new chemical bonding of HfTiErO may exit. PL spectra of all the prepared samples exhibit peaks in range of ∼2.82 to ∼3.03 eV which is attributed to oxygen vacancies.
Article
Reactions of the zirconium amide guanidinates (R2N)2M[(i)PrNC(NR2)N(i)Pr]2 (R = Me, M = Zr, 1; M = Hf, 2; R = Et, M = Zr, 3) with O2 or H2O give products that are consistent with the oxo dimers {M(μ-O)[(i)PrNC(NR2)N(i)Pr]2}2 (R = Me, M = Zr, 4; M = Hf, 5; R = Et, M = Zr, 6) and polymers {M(μ-O)[(i)PrNC(NR2)N(i)Pr]2}n (R = Me, M = Zr, 7; M = Hf, 8; R = Et, M = Zr, 9). Mass spectrometric (MS) analyses of the reactions of water in air with 1 and 2 show formation of the Zr monomer Zr(═O)[(i)PrNC(NMe2)N(i)Pr]2 (10), oxo dimers 4 and 5, and dihydroxyl complexes M(OH)2[(i)PrNC(NMe2)N(i)Pr]2 (M = Zr, 11; Hf, 12). Similar MS analyses of the reaction of diethylamide guanidinate 3 with water in air show the formation of Zr(═O)[(i)PrNC(NEt2)N(i)Pr]2 (13), Zr(OH)2[(i)PrNC(NEt2)N(i)Pr]2 (14), 6, and {(Et2N)Zr[(i)PrNC(NEt2)N(i)Pr]2}(+) (15). Kinetic studies of the reaction between 1 and a continuous flow of 1.0 atm of O2 at 80-105 °C indicate that it follows pseudo-first-order kinetics with ΔH(⧧) = 8.7(1.1) kcal/mol, ΔS(⧧) = -54(3) eu, ΔG(⧧)358 K = 28(2) kcal/mol, and a half-life of 213(1) min at 85 °C.
Article
The initial reaction mechanism of atomic layer deposited TiO2 thin film on the silicon surface using Cp*Ti(OCH3)3 as the metal precursor has been investigated by using the density functional theory. We find that Cp*Ti(OCH3)3 adsorbed state can be formed via the hydrogen bonding interaction between CH3O ligands and the SiOH sites, which is in good agreement with the quadrupole mass spectrometry (QMS) experimental observations. Moreover, the desorption of adsorbed Cp*Ti(OCH3)3 is favored in the thermodynamic equilibrium state. The elimination reaction of CH3OH can occur more readily than that of Cp*H during the Cp*Ti(OCH3)3 pulse. This conclusion is also confirmed by the QMS experimental results.
Article
HfO2/Al2O3 stacks are grown on Si (100) substrate by atomic layer deposition and then nitridized using ammonia (NH3) annealing in the temperature range of 600–900 °C. The effects of NH3 annealing temperature on the structural and physical properties are investigated. HfO2 phase changes from monoclinic to orthorhombic with the annealing treatment. Moreover, the increasing of the grain size and decreasing of the valence band maximum with increasing annealing temperature are demonstrated. In addition, the film annealed at 900 °C clearly shows that there exists an amorphous Al2O3 layer between partially crystalline HfO2 layer and the Si substrate.
Article
Full-text available
[Zr(NEtMe)2(guan-NEtMe2)2], a recently developed compound, was investigated as a novel precursor for the atomic layer deposition (ALD) of ZrO2. With water as the oxygen source, the growth rate remained constant over a wide temperature range, whereas with ozone the growth rate increased steadily with deposition temperature. Both ALD processes were successfully developed: the characteristic self-limiting ALD growth mode was confirmed at 300 °C. The growth rates were exceptionally high, 0.9 and 1.15 Å/cycle with water and ozone, respectively. X-ray diffraction (XRD) indicated that the films were deposited in the high-permittivity cubic phase, even when grown at temperatures as low as 250 °C. Compositional analysis performed by means of X-ray photoelectron spectroscopy (XPS) demonstrated low carbon and nitrogen contamination (<2 at. % when deposited with ozone). The films presented low root-mean-square (rms) roughness, below 5% of the film thickness, as well as excellent step coverage and conformality on 30:1 aspect ratio trench structures. Dielectric characterization was performed on ZrO2 metal−insulator−metal (MIM) capacitors and demonstrated high permittivity and low leakage current, as well as good stability of the capacitance. The ALD reaction mechanism was studied in situ: adsorption of the precursor through reaction of the two guan-NEtMe2 ligands with the surface −OD groups was confirmed by the quartz crystal microbalance (QCM) and quadrupole mass spectrometric (QMS) results.
Article
The HfO2 high-k thin films have been deposited on p-type (100) silicon wafer using RF magnetron sputtering technique. The XRD, AFM and Ellipsometric characterizations have been performed for crystal structure, surface morphology and thickness measurements respectively. The monoclinic structured, smooth surface HfO2 thin films with 9.45nm thickness have been used for Al/HfO2/p-Si metal–oxide–semiconductor (MOS) structures fabrication. The fabricated Al/HfO2/Si structure have been used for extracting electrical properties viz dielectric constant, EOT, barrier height, doping concentration and interface trap density through capacitance voltage and current–voltage measurements. The dielectric constant, EOT, barrier height, effective charge carriers, interface trap density and leakage current density are determined are 22.47, 1.64nm, 1.28eV, 0.93×1010, 9.25×1011cm−2eV−1 and 9.12×10−6A/cm2 respectively for annealed HfO2 thin films.
Article
In this work we report the performance of the SiO2/Si3N4/HfO2 and SiO2/Si3N4/ZrO2 stacks with emphasis on the influence of atomic layer deposition chemistry used for forming the HfO2 and ZrO2 blocking layers. Two Hf precursors were employed – tetrakis(ethylmethylamino)hafnium (TEMAH) and bis(methylcyclopentadienyl)methoxymethyl hafnium (HfD-04). For ZrO2, tetrakis(ethylmethylamino)zirconium (TEMAZ) and bis(methylcyclopentadienyl)methoxymethyl zirconium (ZrD-04) were used as metal precursors. Ozone was used as the oxygen source. The structural characteristics of the stacks were examined by transmission electron microscopy and grazing incidence X-ray diffraction. The electrical properties of the stacks were studied using platinum-gated capacitor structures. The memory performance of the stacks was evaluated by write/erase (W/E) measurements, endurance and retention testing. Endurance measurements revealed the most important difference between the stacks. The films grown from TEMAH and TEMAZ could withstand a significantly higher number of W/E pulses (>3×105 in the 10V/−11V, 10ms regime), in comparison to the stacks made from HfD-04 and ZrD-04 precursors (
Article
Full-text available
In this work, we examine the influence of hafnium and zirconium oxides ALD precursor chemistry on the memory properties of SiO2/Si3N4/ZrO2 and SiO2/Si3N4/HfO2 non-volatile gate memory stacks. Approximately 10 nm thick ZrO2 and HfO2 layers were deposited on top of a SiO2/Si3N4 structure, functioning as blocking oxides. Both metal oxides were deposited using either alkylamides or cyclopentadienyls as metal precursors, and ozone as the oxygen source. In the case of the ZrO2 gate stacks a memory window of 6 V was determined, comprised of 4 V write window and 2 V erase window. Although no dramatic differences were evident between the ZrO2 layers, ZrO2 grown from alkylamide provided structures with higher dielectric strength. The memory structures with HfO2 blocking layers indicate that the memory window and the dielectric strength are significantly affected by the precursor. The structures with the HfO2 formed from alkylamide showed a write window of 7 V, while the films grown from cyclopentadienyl possessed window of 5 V. Comparison between the memory windows obtained using ZrO2 and HfO2 as control oxides reveals that the former provides memory structures with higher electron trapping efficiency.
Article
Atomic layer deposition (ALD) processes for the growth of ZrO2 and TiO2 were developed using novel precursors. The novel processes were based on cycloheptatrienyl (CHT, -C7H7) - cyclopentadienyl (Cp, -C5H5) compounds of Zr and Ti, offering improved thermal stability and purity of the deposited oxide films. The (CpZrCHT)-Zr-Me/O-3 ALD process yielded high growth rate (0.7-0.8 angstrom/cycle) over a wide growth temperature range (300-450 degrees C) and diminutive impurity levels in the deposited polycrystalline films. Growth temperatures exceeding 400 degrees C caused partial decomposition of the precursor. Low capacitance equivalent thickness (0.8 nm) with low leakage current density was achieved. In the case of Ti, the novel precursor, namely CpTiCHT, together with ozone as the oxygen source yielded films with low impurity levels and a strong tendency to form the desired rutile phase upon annealing at rather low temperatures. In addition, the thermal stability of the CpTiCHT precursor is higher compared to the usually applied ALD precursors of Ti. The introduction of this new ALD precursor family offers a basis for further improving the ALD processes of group 4 oxide containing thin films for a wide range of applications.
Article
This paper reviews several high-k ALD processes potentially applicable to the production of capacitors, concentrating on very recent developments. A list of the dielectric materials under investigation consists of the oxides of several metals, including the Group 4 (Ti, Zr, Hf) elements. The binary oxides of Group 4 metals, as well as their mixtures with other oxides, doped hosts, or multi-layers in the form of nano-laminates are of interest.Several examples of our recent results are shown, including possible ALD routes to materials not previously grown, as well as advances in process development.
Article
The initial growth reaction of atomic layer deposition (ALD) of ZrO2 using Cp2Zr(CH3)2 (CpC5H5, cyclopentadienyl) as metal precursor on the hydroxylated silicon surface is investigated by using density functional theory (DFT). The ALD cycle is achieved through two types of ligand elimination reactions (i.e., CH4 and CpH elimination reactions). The possible reaction pathways are proposed in order to find the dominant initial reaction during the Cp2Zr(CH3)2 precursor pulse. DFT calculations show that the CH4 elimination reaction is energetically more favorable than CpH elimination reaction. As a result, the two CH3 ligands of Cp2Zr(CH3)2 may be dissociated prior to the two Cp rings during the metal precursor pulse. In addition, one CpH elimination may occurs sequentially following the first CH4 elimination reaction according to activation barrier analysis during the Cp2Zr(CH3)2 pulse. All the calculated results are in agreement with the experimental findings.
Article
Full-text available
HfO2 thin films have been deposited onto p-Si(100) substrates by atomic layer deposition (ALD) using Cp2 Hf (CH3) 2 (Cp=cyclopentadienyl) or Cp2 HfCl2 and water or ozone as precursors. The purity of HfO2 films was better when ozone instead of water was used as oxygen precursor. The use of Cp2 Hf (CH3) 2 together with ozone in the ALD window range, viz. 350-400°C, yielded HfO2 films with less than 0.1 atom % C and H impurities. Cp2 HfCl2 H2 O -processed film contained C and Cl, but again the use of ozone considerably reduced the impurity levels. When using Cp2 Hf (CH3) 2 as the metal source, the higher reactivity of ozone as compared to H2 O yielded slightly higher growth rate, smoother morphology, higher degree of crystallinity for thicker films, and a close to ideal density. Furthermore, with ozone no inhibition of the growth during initial ALD cycles could be detected. The growth of HfO2 film on H-terminated Si with the Cp2 Hf (CH3) 2 H2 O process was retarded and the initial island-like growth took place resulting in rougher surface. With the Cp2 Hf (CH3) 2 O3 process, the films showed the best leakage current density characteristics. Slightly higher capacitance equivalent oxide thickness values were calculated for the ozone-processed films.
Article
Full-text available
Polycrystalline monoclinic HfO2 films were atomic layer deposited on Si(100) substrates by a nonhydrous carbon-free process of HfI4 and O2. The oxygen to hafnium ratio corresponded to the stoichiometric dioxide within the limits of accuracy of ion beam analysis. A 1.5–2.0 nm thick SiO2 interface layer formed between the HfO2 films and Si substrates. Hysteresis of the capacitance–voltage curves was observed in Al/HfO2/p-Si(100) structures with oxide grown in the substrate temperature range of 570–755 °C. The hysteresis ceased with an increase in O2 pressure. The effective permittivity of the dielectric layers varied between 12 and 16. The breakdown voltages were found to be lower in the case of higher oxygen doses and higher HfO2 deposition temperatures. © 2002 American Institute of Physics.
Article
Full-text available
Dielectric thin films applicable, for instance, as insulating layers in electroluminescent display devices have been studied. In order to improve dielectric characteristics HfO2–Ta2O5 nanolaminates were prepared by atomic layer epitaxy at 325 °C. The nanolaminates were evaluated in capacitance and current–voltage measurements. By optimizing the layer thicknesses in the nanolaminate structures the dielectric properties, especially leakage current densities, could be tailored remarkably. The best nanolaminates showed charge storage factors improved up to 8 times when compared with those of the single oxide films. The presence of nanosize crystallites of monoclinic and metastable tetragonal HfO2 was observed by x‐ray diffraction analysis. © 1996 American Institute of Physics.
Article
Full-text available
HfO2 gate dielectric thin-films were deposited on Si wafers using an atomic-layer deposition (ALD) technique with HfCl4 and either H2O or O3 as the precursor and oxidant, respectively. Although the ALD reactions using either H2O or O3 were successfully confirmed at a deposition temperature of 300 °C, the structural and electrical properties of the HfO2 films grown using the two oxidants were quite different. The stronger oxidation power of the O3 compared to H2O increased the oxygen concentration in the HfO2 film and the rate of interfacial SiO2 formation even at the as-deposited state. Because of the larger oxygen concentration, the decrease in the capacitance density of the film grown with O3 after rapid thermal annealing at 750 °C under N2 atmosphere was slightly larger than that of the HfO2 film grown with H2O. Apart from this weakness, all the other electrical properties, including the fixed charge density, the interface trap density, the leakage current density and the hysteresis in the capacitance–voltage plot of the film grown with O3 were superior to those of the film grown with H2O. Therefore, O3 appears to be a better oxidant for the HfO2 film growth using the ALD method. © 2003 American Institute of Physics.
Article
Full-text available
HfO 2 thin films have been deposited onto p-Si(100) substrates by atomic layer deposition (ALD) using Cp 2 Hf(CH 3) 2 (Cp 5 cyclopentadienyl) and water as precursors at 300–500 uC. Processing parameters were optimised and the ALD type growth mode corroborated at 350 uC where a deposition rate of 0.42 Å cycle 21 was obtained. The crystallinity, morphology and chemical composition of the deposited films were characterised. Films deposited at 300–450 uC were polycrystalline with monoclinic (2111) as the preferred orientation. Impurity levels of the stoichiometric HfO 2 films deposited at 350 and 400 uC were very low, or below 0.4 and 0.25 atom% for carbon and hydrogen, respectively. In addition, ultrathin HfO 2 films showed good dielectric properties such as low hysteresis and nearly ideal flatband voltage.
Article
Full-text available
Atomic layer growth of hafnium dioxide from HfCl4 and H2O has been studied at substrate temperatures ranging from 180–600°C. A quartz crystal microbalance was used for the real-time investigation of deposition kinetics and processes affecting the growth rate. It was shown that the layer-by-layer growth was self-limited at temperatures above 180°C. The data of ex situ measurements revealed that the structure, density and optical properties of the films depended on the growth temperature. The absorption coefficient of amorphous films grown at 225°C was below 40 mm−1 in the spectral range of 260–850 nm. The refractive index of the films grown at 225°C was 2.2 and 2.0 at 260 and 580 nm, respectively. The polycrystalline films with monoclinic structure grown at 500°C had about 5% higher refractive index but more than an order of magnitude higher optical losses caused by light absorption and/or scattering.
Article
Charge trapping and electron mobility degradation in MOSFET performance are the most serious challenges to the integration of high-k dielectric materials. The hydroxyl ion, OH-, a prevailing impurity in H2O-based high-k dielectric films and a major source of fixed and trapped charges, can be eliminated by using ozone as an oxidant in lieu of H2O. Superior Hf-silicate films were developed using ozone in a showerhead-type atomic layer deposition reactor with volatile liquid precursors producing quality high-k gate dielectrics suitable for the 65nm technology node.
Article
A new zirconium precursor, (ZrCl2[N(SiMe3)(2)](2)), and H2O oxidant were used to deposit ZrO2 films on a Si substrate, for alternative gate dielectrics, via atomic layer deposition (ALD) in the temperature range 150-350 degreesC. The film growth showed the self-limiting characteristic of ALD, with a maximum growth rate of 1.6 Angstrom per cycle at 175 degreesC. The compositions of as-deposited films were analyzed by Rutherford backscattering spectroscopy (RBS), X-ray photoelectron spectroscopy (XPS), and secondary ion mass spectroscopy (SIMS), and it was found that the amount of silicon in ZrO2 films was uniformly distributed throughout the film in the range of 1.1 at.-% to 5.4 at.-% as the deposition temperature was increased. After rapid thermal annealing in an Ar atmosphere at 700-900 degreesC, the amorphous as-deposited film was crystallized mainly in the cubic phase, and no significant change in surface morphology was observed.
Article
Atomic layer deposition of uniform thin hafnium oxide films has been demonstrated directly on H-terminated silicon surfaces using anhydrous hafnium nitrate (Hf(NO3)(4)) precursor and H2O vapor. Atomic layer deposition was initiated on hydrogen terminated silicon surfaces and occurred at substrate temperatures as low as 160degreesC. X-ray diffraction analysis indicated that as-deposited films were smooth, uniform, and amorphous, and that film morphology can be altered by a post-deposition anneal. X-ray photoelectron spectroscopy analysis indicated that films are oxygen rich, contain silicate, and that residual NO3 and NO2 from the precursor can be eliminated by a post-deposition anneal. For a similar to57 Angstrom HfO2 film, a dielectric constant of kappacongruent to10.5 and a capacitive equivalent thickness of similar to21 Angstrom were obtained. (C) 2002 The Electrochemical Society.
Article
Stoichiometric HfO2 films were atomic layer deposited from HfI4 and HfCl4 at 300 °C on p-Si(1 0 0) substrates. Water was in both cases used as an oxygen precursor. The films consisted dominantly of monoclinic HfO2 phase. Additional tetragonal HfO2 could be detected only in the films grown from HfCl4. Effective permittivities were frequency-independent and varied in the range of 12–14, without clear dependence on the precursor used. Oxide rechargeable trap densities were relatively high for the films grown from HfCl4. The films grown from HfI4 were more resistant against breakdown. The films grown from either precursor contained 0.4 at.% of halide residues and 1.0–1.5 at.% hydrogen. Annealing in forming gas at 400 °C did not affect the film composition. The growth rate was somewhat more stable in the HfI4 based process.
Article
Hafnium tetraiodide and oxygen were used as precursors for atomic layer deposition of hafnium dioxide (HfO2) thin films on silicon substrates at temperatures of 400–750 °C. At 500–750 °C the growth rate ranged from 0.11 to 0.12 nm/cycle and, within the experimental uncertainty, did not depend on the substrate temperature. With the decrease of the substrate temperature from 500 to 400 °C, however, the growth rate decreased to 0.035 nm/cycle. All films contained monoclinic HfO2. In addition, some amount of cubic, tetragonal or orthorhombic phase was observed in thinner films, particularly in those deposited at lower substrate temperatures and lower oxygen doses. The relative dielectric constant of the films measured at the frequency of 500 kHz reached 16.
Article
Structure development in hafnium dioxide thin films grown by atomic layer deposition was studied. The method allowed deposition of nanocrystalline films on silicon and silica substrates at temperatures 300–940°C. The crystalline films of 30–375 nm thickness contained monoclinic HfO2, mainly, independent of the growth temperature used. Both orientation and sizes of crystallites depended on the growth temperature and film thickness. The films with most developed preferential orientation (texture) were obtained at 500°C. The preferential orientation appeared because the crystallites grew faster in the directions [0 0 1] and [1 1 1] than in the other crystallographic directions. In the highly textured films grown at 500°C, the crystallite sizes did not exceed 50 nm while in the films grown at 300°C and 940°C they reached 100 nm. Variation of precursor doses at the growth temperature 940°C allowed us to obtain preferentially oriented monoclinic and/or randomly oriented cubic structure at the thin-film surface.
Article
Zirconium oxide thin films have been deposited by atomic layer epitaxy (ALE) using Zr(thd)4, Cp2Zr(CH3)2 and Cp2ZrCl2 (thd = 3,3,5,5-tetramethylheptane-3,5-dionate, Cp = cyclopentadienyl) as zirconium precursors and ozone as the oxygen source. A plateau of constant growth rate (ALE window) was observed for the Zr(thd)4/O3 process at 375–400°C, for Cp2Zr(CH3)2/O3 at 310–365°C and for Cp2ZrCl2/O3 at 275–350°C. Within these temperature ranges constant deposition rates of 0.24, 0.55 and 0.53 Å (cycle)−1 were obtained, respectively. Deposited films were characterised by XRD and AFM for crystallinity and surface morphology, while TOF-ERDA was used to analyse the ZrO2 film stoichiometry and possible impurities. Films deposited by optimised parameters from Cp2Zr(CH3)2/O3 and Cp2ZrCl2/O3 were crystalline showing the preferred (−111) orientation of monoclinic ZrO2. In all films, the orthorhombic zirconia phase was also present, although at higher temperatures its relative amount decreased. Zr(thd)4/O3 process produced films with lowest crystallinity consisting of both orthorhombic and monoclinic phases. According to TOF-ERDA, films were nearly stoichiometric with less than 0.5 atom% hydrogen and carbon. Outside the ALE window, a small chlorine contamination (0.1–0.3 wt%) was observed by XRF when the ZrO2 films were deposited from Cp2ZrCl2/O3 at 200–275°C.
Article
A plasma enhanced atomic layer deposition (PEALD) process was developed to deposit high-k dielectric constant materials using alternative metal t-butoxide and oxygen plasma exposures. The deposited thickness increased linearly with an increasing number of precursor/oxygen plasma cycles, and the growth rates of HfO2 and ZrO2 were determined to be 1.1 and 2.8 A&ring;/cycle, respectively. The as-deposited films were determined to be fully oxidized and amorphous by the x-ray photoelectron spectroscopy (XPS) and Fourier transformed infrared spectroscopy (FTIR). The PEALD films were found to have high concentrations of bridging oxygen bonds with metals (M-O-M) as the film thickness increased, in contrast to the high concentrations of M-O-H in the films deposited by plasma enhanced chemical vapor deposition (PECVD). The M-O-M bonds in the PEALD films were further increased upon annealing at 250 °C in atmosphere with a corresponding decrease in M-O-H concentrations, suggesting the elimination of hydroxyl groups upon annealing. The PEALD HfO2 and ZrO2 films showed higher dielectric constants (25, 22) than those of PECVD deposited films (21, 19), likely due to the enhanced ionic contribution from the M-O-M bonds in the PEALD films. The smallest equivalent oxide thickness (EOT) of 13 A&ring; was achieved by PEALD HfO2 with a leakage current density of 0.2 A/cm2, several orders of magnitude below that of thermally grown SiO2 films with the same EOT.
Article
A new alkoxide precursor, Hf(mp)(4) [mp = 3-methyl-3-pentoxide, OC(CH3)C2H5)(2)] has been employed in the atomic layer deposition (ALD) of HfO2 thin films using water (H2O) as the oxygen source. The self-limiting ALD process via alternate surface reactions of Hf(mp)(4) and H2O is confirmed from thickness measurements of the HfO2 films grown with varied Hf(mp)4 supply time and number of Hf(mp)(4)/H2O ALD cycles. The ALD temperature window for this precursor is found to be between 250 and 350 degrees C. Under optimal reaction conditions, the growth rate of the HfO2 films is similar to 0.9 angstrom per cycle. Amorphous films can be obtained across the entire temperature range with atomically flat and uniform surfaces. X-ray photoelectron spectroscopy (XPS) and depth-profiling Auger electron spectroscopy (AES) indicate that the films are stoichiometric with negligible amounts (less than 2 %) of carbon impurities.
Article
HfO2 films were grown by atomic layer deposition from a mononuclear and volatile complex Hf(OCMe2CH2OMe)4 in the temperature range of 275−425 °C on borosilicate glass and Si(100) substrates. HfO2 films were formed as a result of alternate adsorption and hydrolysis steps of the hafnium precursor. The adsorption of hafnium complex was not entirely self-limiting, probably because of the thermal decomposition of the precursors. Crystalline films containing the monoclinic HfO2 phase were grown at temperatures exceeding 300−325 °C. The refractive index of the films varied between 1.8 and 2.0. The effective permittivities of the dielectrics in Al/HfO2/Si structures varied between 12 and 17.
Article
The structural development of HfO2, thin films grown from HfCl4 and water onto glass substrates by atomic layer epitaxy at 500 degrees C was studied with X-ray diffraction, atomic force microscopy and scanning electron microscopy. The films were found to contain two regions of different crystallinity: a thin amorphous starting layer and a subsequent preferentially oriented polycrystalline layer. The films were built up of densely packed grains. Substantial surface roughening occurred along with increasing film thickness. The films were chlorine free as analyzed by Rutherford backscattering spectrometry.
Article
Elastic Recoil Detection Analysis (ERDA) of a pyrex-glass sample is carried out in the elemental range of Z=5–20 using element dispersive ionization chambers and a time-of-flight (TOF-E) system, respectively. It is shown that recoil identification with the gas detectors is limited to energies significantly higher than the values assigned to the maximum electronic stopping power of the different species in the detector gas. As a consequence, the in-target depth accessible for analysis decreases rapidly with increasing atomic number of the recoils in the case of the comparatively small projectile energies available from a 5 MV tandem accelerator. Mass discrimination using TOF spectrometry is effective down to significantly smaller energies, giving larger probing depths with unchanged beam and geometry parameters. This advantage over the gas detectors is most prominent with the heaviest recoils analyzed. Based on the multielement spectra obtained with 35 MeV 35Cl and 210 MeV 127I analyzing beams, respectively, an extrapolation onto a 2 MV tandem machine providing 12 MeV 35Cl ions shows that element-discriminating analysis is possible also with small accelerators if TOF systems are employed for recoil detection.
Article
ZrO2 films were grown from ZrI4 and H2O-H2O2 on p-Si(100) substrates using the atomic layer deposition technique. The influence of deposition conditions on the dielectric properties of ZrO2 films was investigated. The breakdown field exceeded 2 MV/cm in the films grown at 325-500 degreesC. The relative permittivity measured at 10 kHz was 20-24 in the films deposited at 275-325 degreesC. The dissipation factor of these films was as low as 0.02-0.03. The relative permittivity decreased to 7 and the dissipation factor increased to 0.6 when the growth temperature was raised to 450-500 degreesC. Variation of the measurement frequency from 1 to 100 kHz had only a slight influence on the permittivity values. Hysteresis of the capacitance-voltage curves indicated that a considerable amount of deep levels at the oxide-semiconductor interface and/or in the oxide were recharged under dc bias. The density of rechargeable states increased with the deposition temperature, and the recharging mechanism also depended on the substrate temperature used for the ZrO2 growth. (C) 2001 The Electrochemical Society.
Article
Hafnium silicate thin films were deposited on Si substrate for alternative gate dielectrics by atomic layer deposition (ALD) using a single metallorganic precursor, dichlorobis[bis(trimethylsilyl)amido]hafnium (HfCl2[N(SiMe3)(2)](2)), and H2O as an oxidant in the temperature range of 150-400 degreesC. The effect of pulse time for purge and precursor injection confirmed the self-limiting characteristic of ALD. Film growth rate and composition were investigated as a function of deposition temperature. Growth rate reached to a maximum value of 1.3 Angstrom/cycle at 250 degreesC and rapidly decreased to 0.3 Angstrom/cycle at 400 degreesC. The composition analysis of as-deposited films using X-ray photoelectron spectroscopy showed that the composition ratio of Si/(Hf + Si) increased linearly from 0.15 to 0.3 as the deposition temperature increased. (C) 2004 The Electrochemical Society.
Article
HfO2 films were deposited via Hf(OtBu)4 precursor and ozone oxidant using atomic layer deposition (ALD) atop Al2O 3. We report the impact of annealing conditions on the physical and electrical properties of a HfO2 on Al2O3 /SiN/Si substrate using medium-energy ion scattering spectroscopy, high-resolution transmission electron microscopy, thermal desorption spectra, and electrical measurements. Annealing temperatures influence the microstructure and impurity levels of Hf(OtBu)4HfO2/Al2O 3/SiN films. The leakage currents of Al2O 3-HfO2 bilayer were decreased with the increase of annealing temperature and the structures of the bilayer did not break until 850°C. This change was closely related to the reduction of carbon and organic contamination during annealing. However, annealing at 950°C drastically degraded electrical properties due to the intermixing of the HfO2-Al2O3 bilayer structure.
Article
Highly uniform ZrO2 films were deposited by plasma enhanced atomic layer deposition (PEALD) using tetrakis(ethylmethylamino)zirconium (TEMAZ) and O2 as precursors. The deposition rates were 0.14 and 0. 11 nm/cycle at temperatures of 110 and 250°C, respectively. ZrO2 films deposited at 150°C contained ∼3% nitrogen, incorporated from the Zr-precursor, which contains four amino-groups. In the absence of a plasma, a ZrO2 film was not deposited with TEMAZ and O2 at 150°C. The electrical characteristics including breakdown strength and permitivity were also evaluated. The permitivities for 110°C- and 200°C-ZrO2 films were 16.1 and 26.9, respectively.
Article
HfO2 thin films were atomic layer deposited on Si wafers at 300 degrees C using Hf(OC4H9)(4) and O-3. A thin (similar to 1 nm) SiO2 layer at the HfO2/Si interface was grown by the in situ O-3 oxidation immediate before HfO2 film deposition. This thin O-3-SiO2 layer resulted in no flatband shift with the thermal treatment and a much smaller flatband voltage shift under the voltage stress, in addition to a more than ten times smaller leakage current density than a HfO2 film without the O-3 oxidation. A very small interfacial trap density (<3 x 10(10) cm(-2) eV(-1)) near the midgap energy was obtained. (C) 2004 The Electrochemical Society.
Article
Preparation of ZrO2 films with nanometer thickness has been examined using an atomic layer deposition technique under atmospheric pressure utilizing the alternate reaction of ZrCl4 and O-2. The ZrO2 films obtained at 773 K were polycrystalline with tetragonal phase, suggesting that the grain size of crystallites is very small. The growth mechanism is governed by the self-limiting adsorption reaction of ZrCl4 onto a glass substrate with a reasonably smooth surface. (C) 2002 The Electrochemical Society.
Article
Thin HfO2 films have been deposited on silicon via atomic layer deposition using anhydrous hafnium nitrate [Hf(NO3)4]. Properties of these films have been investigated using x-ray diffraction, x-ray reflectivity, spectroscopic ellipsometry, atomic force microscopy, x-ray photoelectron spectroscopy, and capacitance versus voltage measurements. Smooth and uniform initiation of film growth has been detected on H-terminated silicon surfaces. As-deposited films were amorphous, oxygen rich, and contained residual NO3 and NO2 moieties from the nitrate precursor. Residual nitrates were desorbed by anneals >400 °C, however, the films remained oxygen rich. Crystallization of thin films (<10 nm) occurred at roughly 700 °C. For films less than ∼10 nm thick, the effective dielectric constant of the film and any interfacial layer (neglecting quantum effects) was found to be in the range of k∼10−11. From a plot of electrical thickness versus optical thickness, the dielectric constant of the HfO2 layer was estimated to be kHfO2∼12−14. Leakage current was lower than that of SiO2 films of comparable equivalent thickness. The lower than expected dielectric constant of the film stack is due in part to the presence of an interfacial layer (likely HfSiOx). Excess oxygen in the films may also play a role in the reduced dielectric constant of the HfO2 layer. © 2003 American Institute of Physics.
Article
Dielectric thin films and multilayers suitable for application as insulating layers in electroluminescent display devices have been studied. In this work, and nanolaminates with improved dielectric characteristics were grown by atomic layer epitaxy. The films were evaluated by capacitance and current‐voltage measurements, The pure , and films possessed charge‐storage factors up to 8, 16, and 19 nC/mm2, respectively, at a leakage current density of 1 μA/cm2. The nanolaminates were completely amorphous and their storage factors did not exceed 30 nC/mm2. The nanolaminates showed remarkably improved dielectric properties when compared with those of the pure oxide films, especially when the interlayer thicknesses were optimized. Nanosize crystallites of monoclinic and metastable tetragonal were observed in the nanolaminates by x‐ray diffraction. The nanolaminates possessed high charge‐storage factors up to 64 nC/mm2 and showed superior stability of the dielectric properties.
Article
A stoichiometric, uniform, and amorphous hafnium oxide thin film is deposited by an atomic layer deposition process. The as-deposited hafnium oxide films showed superior electrical properties compared to zirconium oxides, including a dielectric constant of 23, a flatband voltage shift of +0.3 V, a hysteresis of 25 mV, an interfacial trap density of 1.8×1011 cm−2 eV−1, and a leakage current density several orders of magnitude lower than SiO2 at an equivalent oxide thickness of 9.3 Å, suitable for metal–oxide–semiconductor device applications. The thermal stability of hafnium oxide on silicon was determined to be better than that of zirconium oxide. Post-deposition annealing in oxygen and ammonia further improved the thermal stability of HfO2 to 1000 and 1100 °C, respectively. © 2002 American Institute of Physics.
Article
Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal–oxide–semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation. © 2001 American Institute of Physics.
Article
Scaling down of the microelectronic devices feature size is demanding high-quality ultra thin high-k gate dielectric as a potential replacement for SiO2 gate dielectric. Among the high-k materials, ZrO2 is considered as a potential alternative to SiO2 gate dielectric below 2 nm due to its thermodynamical stability as well as excellent electrical properties. For these reasons, we investigated ZrO2 films prepared by the normal atomic layer deposition (ALD) and plasma enhanced ALD (PEALD) techniques using Zr t–butoxide and Zr(NEt2)4 metal organic precursors. This study showed that ZrO2 films deposited by the PEALD method showed generally improved film qualities with relatively low-leakage current, negligible hysteresis, and low-carbon incorporation compared to those of the films deposited by the conventional ALD method. Also, ZrO2 films deposited using Zr(NEt2)4 precursor showed better film qualities than those of films deposited using Zr t–butoxide. Especially, ZrO2 films deposited using Zr(NEt2)4 with oxygen plasma showed the leakage current as low as about 2.5×10−9 A/cm2 with an equivalent oxide thickness value of about 1.4 nm. This study demonstrated the possible application of the PEALD technique for the high-quality ultra thin high-k gate dielectric film deposition. © 2002 American Institute of Physics.
Article
ZrO2 films were grown by atomic layer deposition from ZrCl4 and H2O or a mixture of H2O and H2O2 on Si(100) substrates in the temperature range of 180–600 °C. The films were evaluated in the as-deposited state, in order to follow the effect of deposition temperature on the film quality. The rate of crystal growth increased and the content of residual impurities decreased with increasing temperature. The zirconium-to-oxygen atomic ratio, determined by ion-beam analysis, corresponded to the stoichiometric dioxide regardless of the growth temperature. The effective permittivity of ZrO2 in Al/ZrO2/Si capacitor structures increased from 13–15 in the films grown at 180 °C to 19 in the films grown at 300–600 °C, measured at 100 kHz. The permittivity was relatively high in the crystallized films, compared to the amorphous ones, but rather insensitive to the crystal structure. The permittivity was higher in the films grown using water. The leakage current density tended to be lower and the breakdown field higher in the films grown using hydrogen peroxide. © 2002 American Institute of Physics.
Article
Atomic layer deposition (ALD) of HfO2 thin films was studied using four novel cyclopentadienyl precursors, namely, (CpMe)2HfMe2, Cp2Hf(OMe)2, (CpMe)2Hf(OMe)Me, and (CpMe)2Hf(OMe)2. Ozone was used as the oxygen source. Among the cyclopentadienyl precursors, (CpMe)2HfMe2 and (CpMe)2Hf(OMe)Me were the most promising, showing ALD-type growth characteristics at high temperatures as the self-limiting growth mode was confirmed at 400 °C. ALD-type growth was verified also on 60:1 aspect ratio trench structures even at 450 °C, where perfect conformality was obtained. The growth rate stayed nearly constant at around 0.5 Å/cycle at substrate temperatures between 350 and 500 °C. When Cp2Hf(OMe)2 and (CpMe)2Hf(OMe)2 were applied, slight decomposition of the precursor was detected at 350−400 °C, and thus a self-limiting growth mode was not achieved. Time-of-flight elastic recoil detection analyses demonstrated stoichiometric HfO2 films, where impurity concentrations were below 0.1 at % for C, H, and N in films deposited from each of the four Hf precursors. In addition, thin HfO2 films showed good dielectric properties such as low hysteresis, nearly ideal flatband voltage, and effective permittivity values similar to previously reported HfO2 films obtained by the alkylamide-based processes.
Article
Atomic layer deposition (ALD) was used for growing zirconium dioxide (ZrO2) thin films by alternate surface reactions between new aminoalkoxides and water. The zirconium aminoalkoxide precursors were Zr(dmae)4, Zr(dmae)2(OtBu)2, and Zr(dmae)2(OiPr)2 (dmae is dimethylaminoethoxide, [OCH2CH2N(CH3)2]). Films were deposited on soda and borosilicate glass at 190−340 °C. The growth rate increased with elongated Zr precursor pulse possibly due to precursor decomposition. The as-deposited films contained substantial amounts of residual hydrogen. Reaction mechanisms were studied with a quadrupole mass spectrometer (QMS) and a quartz crystal microbalance (QCM) connected to the ALD reactor. The QMS results showed that the precursors decompose at higher temperatures, Zr(dmae)4 making an exception. According to the QCM results, all precursors start to decompose with longer Zr precursor pulses.
Article
New chemical reactions are introduced for the atomic layer deposition of many metal oxide and metal silicate films from metal alkylamide precursors. The results for hafnium and lanthanum oxides and silicates overturn a long-held assumption that bulky reactants necessarily lead to low deposition rates. There is an urgent need for a replacement for silicon dioxide gate electrodes in microelectronics. The new deposition methods furnish a very promising approach to making materials suitable for this important application.
Article
Atomic layer deposition (ALD) of smooth and highly conformal films of hafnium and zirconium oxides was studied using six metal alkylamide precursors for hafnium and zirconium. Water was used as an oxygen source during these experiments. As deposited, these films exhibited a smooth surface with a measured roughness equivalent to that of the substrate on which they were deposited. These films also exhibited a very high degree of conformality: 100% step coverage on holes with aspect ratios greater than 35. The films were completely uniform in thickness and composition over the length of the deposition reactor. The films were free of detectable impurities and had the expected (2:1) oxygen-to-metal ratio. Films were deposited at substrate temperatures from 50 to 500 degreesC from precursors that were vaporized at temperatures from 40 to 140 degreesC. The precursors were found to be highly reactive with hydroxylated surfaces. Their vapor pressures were measured over a wide temperature range. Deposition reactor design and ALD cycle design using these precursors are discussed.
Article
This study is an investigation into the influence of temperature, substrate, and thickness on the properties of ZrO2 thin films grown by atomic layer deposition (ALD). ZrI4 and H2O2 were used as source materials, and films deposited at temperatures between 250 °C and 500 °C consisted of mixed tetragonal and monoclinic ZrO2. The phase content and electrical properties of films of 3–30 nm thickness were studied for different temperatures and substrates. The films crystallized at smaller thicknesses on the Pt/Ti/SiO2/Si (denoted Pt in the following text) substrate than on polycrystalline Si (poly-Si) and MgO(001). It was also found that the film thickness had a stronger effect on the dielectric constant than either the growth temperature or the substrate.
Article
HfO2 films were grown by atomic layer deposition (ALD) from a new liquid precursor, Hf(ONEt2)4 and H2O, at temperatures between 250 °C and 350 °C on borosilicate glass and Si(100) substrates. The highest growth rate was achieved at 300 °C, whereas the growth was essentially slower at 250 °C and ceased at 350 °C. The films possessed an O:Hf ratio of 2.15 ± 0.12, as determined by ion beam analysis. The films were weakly crystallized, showing X-ray diffraction (XRD) peaks characteristic of monoclinic phase. The refractive index of the films varied between 1.93 and 1.96. The effective permittivities of the dielectric layers in Al/HfO2/n-Si(100) capacitor structures were close to 10.