• Home
  • TU Wien
  • Institute for Microelectronics
  • Lado Filipovic
Lado Filipovic

Lado Filipovic
TU Wien | TU Wien · Institute for Microelectronics

About

90
Publications
18,933
Reads
How we measure 'reads'
A 'read' is counted each time someone views a publication summary (such as the title, abstract, and list of authors), clicks on a figure, or views or downloads the full-text. Learn more
731
Citations

Publications

Publications (90)
Article
The optimized geometry and also the electronic and transport properties of passivated edge armchair antimonene nanoribbons (ASbNRs) are studied using ab initio calculations. Due to quantum confinement, the size of the bandgap can be modulated from 1.2 eV to 2.4 eV (indirect), when the width is reduced from 5 nm to 1 nm, respectively. This study foc...
Article
Atomic layer deposition allows for precise control over film thickness and conformality. It is a critical enabler of high aspect ratio structures, such as 3D NAND memory, since its self-limiting behavior enables higher conformality than conventional processes. However, as the aspect ratio increases, deviations from complete conformality frequently...
Article
A novel DTCO flow is described with the principal aim to study the impact of air spacer fabrication on the power and performance of a 5-stage inverter ring oscillator at the 7 nm node. The flow incorporates physical and analytical process models from the in-house ViennaPS simulation tool together with device and circuit simulations from GTS Framewo...
Article
Full-text available
During the last few decades, the microelectronics industry has actively been investigating the potential for the functional integration of semiconductor-based devices beyond digital logic and memory, which includes RF and analog circuits, biochips, and sensors, on the same chip. In the case of gas sensor integration, it is necessary that future dev...
Preprint
Full-text available
Atomic layer deposition allows for precise control over film thickness and conformality. It is a critical enabler of high aspect ratio structures, such as 3D NAND memory, since its self-limiting behavior enables higher conformality than conventional processes. However, as the aspect ratio increases, deviations from ideal conformality frequently occ...
Article
Full-text available
Electronic devices based on two-dimensional semiconductors suffer from limited electrical stability because charge carriers originating from the semiconductors interact with defects in the surrounding insulators. In field-effect transistors, the resulting trapped charges can lead to large hysteresis and device drifts, particularly when common amorp...
Article
Full-text available
Due to the great success of the initial Special Issue on Miniaturized Transistors [...]
Article
Full-text available
Within the last decade, considerable efforts have been devoted to the fabrication of transistors utilizing 2D semiconductors. Also, small circuits consisting of a few transistors have been demonstrated, including inverters, ring oscillators, and SRAM cells. However, for industrial applications, both time‐zero and time‐dependent variability in the p...
Article
A physical process model for dry plasma etching is presented and applied to simulate vertical channel hole etching, a critical fabrication step in modern three-dimensional (3D) NAND flash memory. The presence of physical etching with high energy ions is shown to induce damage in the underlying silicon, which results in the formation of voids during...
Article
The ability to simulate the processes required to fabricate advanced microelectronic structures, commonly referred to as process technology computer aided design (TCAD), is essential for the semiconductor industry. It aids in the design and development of modern integrated circuits at low cost. Ongoing demands of high efficiency and simplicity lead...
Article
Conductometric gas sensors based on SMO films must be heated to temperatures up to 550 °C in order to initiate the molecular adsorption process at the SMO film's surface. Very often platinum is used as the microheater material. The long-term reliability of these devices are primarily associated with the mechanical stability of the micro-electro-mec...
Article
Full-text available
The first-principles calculation of pristine, B-, Al-, Ga-, Sb-, and Bi-doped blue phosphorene (BlueP) with adsorbed SO2, NO, and NO2 gas molecules including the transport and optical properties is reported. The electronic structures of pristine and doped BlueP are investigated, and the modifications in electronic band structures and density (DOS)...
Article
Full-text available
The persistent advancements made in the scaling and vertical implementation of front-end-of-line transistors has reached a point where the back-end-of-line metallization has become the bottleneck to circuit speed and performance. The continued scaling of metal interconnects at the nanometer scale has shown that their behavior is far from that expec...
Chapter
Before fabrication, sensors are often designed, simulated, and optimized using the Technology Computer Aided Design (TCAD) tools to reduce the manufacturing costs and the prototype development cycle. In this chapter, the electro-thermo-mechanical behavior of gas sensor hotplates is simulated by means of the finite element method (FEM). In particula...
Article
Full-text available
There is a growing demand in the semiconductor industry to integrate many functionalities on a single portable device. The integration of sensor fabrication with the mature CMOS technology has made this level of integration a reality. However, sensors still require calibration and optimization before full integration. For this, modeling and simulat...
Article
Continued scaling of the back-end-of-line copper metalization has shown to significantly increase the resistivity and decrease the lifetime of interconnects. The primary reason is the impact of microstructure, meaning the influence of grain boundaries and material interfaces on the movement of conducting electrons and atoms during electromigration....
Article
Full-text available
Complementary Metal Oxide Semiconductor (CMOS) devices and fabrication techniques have enabled tremendous technological advancements in a short period of time [...]
Article
Full-text available
Semiconducting metal oxide (SMO) gas sensors were designed, fabricated, and characterized in terms of their sensing capability and the thermo-mechanical behavior of the micro-hotplate. The sensors demonstrate high sensitivity at low concentrations of volatile organic compounds (VOCs) at a low power consumption of 10.5 mW. In addition, the sensors r...
Article
Full-text available
The growing demand for the integration of functionalities on a single device is peaking with the rise of IoT. We are near to having multiple sensors in portable and wearable technologies, made possible through integration of sensor fabrication with mature CMOS manufacturing. In this paper we address semiconductor metal oxide sensors, which have the...
Article
Full-text available
Semiconducting metal oxide (SMO) gas sensors, dedicated to wearable devices were designed, fabricated, and characterized in terms of power consumption, thermal distribution, and sensing capability. The sensors demonstrate a sensitivity down to ppb-level VOC concentrations at a low power consumption of 10.5 mW. To further enhance the baseline stabil...
Article
Full-text available
Semiconductor device dimensions have been decreasing steadily over the past several decades, generating the need to overcome fundamental limitations of both the materials they are made of and the fabrication techniques used to build them. Modern metal gates are no longer a simple polysilicon layer, but rather consist of a stack of several different...
Article
1. Introduction While aggressive device scaling has taken the front stage in the semiconductor industry for many decades, there is currently an ever-increasing demand for functional integration in a single device. The rise of the Internet of Things is a clear indicator of this trend. Connecting different dies using bonding wires can negatively impa...
Article
The microheater is an important part of a semiconducting metal oxide gas sensor, as its primary function is to heat up the sensitive layer to a desired temperature. The operating temperature of the sensor depends on the sensitive material used and the species of the target gases. Therefore, an accurate extraction of the sensor active area temperatu...
Article
Our work focuses on the design and rigorous evaluation of two novel designs for semiconducting metal oxide (SMO) gas sensors with different shapes and sizes, in order to assess the most efficient layout geometry in terms of power consumption, membrane stability, and temperature distribution. The aim of this study is to provide two designs, one to b...
Article
For several decades, researchers have aspired to combine all the necessary components for a gas sensor with microelectronic circuits in order to create an integrated smart gas sensor device. However, these devices will only be embraced by industry and consumers, if their cost of production is reduced to about 1$ per device. The drive for affordable...
Article
We present a computationally efficient framework to compute the neutral flux in high aspect ratio structures during three-dimensional plasma etching simulations. The framework is based on a one-dimensional radiosity approach and is applicable to simulations of convex rotationally symmetric holes and convex symmetric trenches with a constant cross-s...
Conference Paper
We present a computationally inexpensive framework to compute the neutral flux in high aspect ratio structures during three-dimensional plasma etching simulations. It is based on a one-dimensional radiosity approach and is applicable to simulations of convex-shaped rotationally symmetrical holes and convex-shaped trenches of infinite length. The fr...
Conference Paper
Three-dimensional integration with throughsilicon vias is becoming essential for the future of the microand nano-electronics industry. The ability to incorporate multiple wafers and systems in a single design is revolutionizing device packaging. However, the complexity in the fabrication of through-silicon via structures and the reliability concern...
Conference Paper
We present a computationally inexpensive one-dimensional method to model the neutral flux in high aspect ratio holes for three-dimensional plasma etching simulations. The benefit of our approach lies in the fact that the computational costs of a three-dimensional plasma etching simulation are, for the most part, determined by calculating the surfac...
Conference Paper
The integration of gas sensor components into wearable electronics will provide individuals the ability to detect harmful chemicals and pollutants in the environment. The key to this integration is the development of processing techniques for the fabrication of sensor components which can be incorporated into the conventional CMOS fabrication seque...
Article
The ability to incorporate gas sensing devices into always-on wearable technology such as smart phones, tablets, and wrist watches will revolutionize the environmental health and safety industry by providing individuals with a convenient way to detect harmful chemicals in the environment. Although thin metal oxide films have shown their gas-sensing...
Conference Paper
We describe a global methodology for the extraction and the quantification of the effects of the most relevant equipment parameters involved in TSV processing. With a specific focus on the DRIE step of the TSVs' fabrication, we propose a dedicated simulation flow describing the distribution of the species over the wafer inside the etching chamber,...
Article
The effects of silicon etching and subsequent metallization during the fabrication of tungsten-lined open TSVs are examined using a combination of measurements and simulations. The total stress through a tungsten film deposited on a flat wafer is measured and finite element simulations are performed in order to identify the intrinsic and thermal st...
Article
The integration of gas sensing elements into hand-held electronics will provide individuals the ability to detect harmful chemicals and pollutants in the environment in real time. Metal oxide gas sensors rely on changes in their electrical conductance due to the interaction of the oxide with a surrounding gas at an elevated temperature. The intrins...
Article
The integration of gas sensor components into smart phones, tablets, and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held devices. However, before this can be achieved, several challenges must be...
Article
Full-text available
The integration of gas sensor components into smart phones, tablets and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held or wearable devices. Metal oxide gas sensors rely on changes in their elect...
Article
We demonstrate a coupled equipment- and feature-scale process simulation and its application to plasma-enhanced chemical vapor deposition (PECVD) as part of a sequence for the fabrication of a through-silicon via (TSV) interconnect. The TSV structure is characterized electrically and mechanically by means of finite element simulation. This chain al...
Article
Gas sensors are based on metal oxides, which likely will have a considerable impact on future smart phones, are analyzed by means of simulations. The deposition of a thin tin oxide film at the backend of a CMOS process has enabled the manufacture of integrated gas sensors. A spray pyrolysis technique is implemented for the deposition step, resultin...
Article
The electrical performance and reliability of a through-silicon via is investigated through two-dimensional and three-dimensional simulations. Due to the large differences in material thicknesses present in the structures, a 3D simulation is often not feasible. The thermo-mechanical stress, the electrical parameters including TSV resistance and cap...
Conference Paper
The effects of the presence of scallops along the sidewalls of filled (copper) and open (tungsten) TSVs are studied. The Bosch process is used in order to generate highly vertical deep trenches; however, the process results in scallops along the etched sidewalls. A model for the Bosch process is implemented in an in-house level set simulator in ord...
Article
The effects of silicon etching using the Bosch process and LPCVD oxide deposition on the performance of open TSVs are analyzed through simulation. Using an in-house process simulator, a structure is generated which contains scalloped sidewalls as a result of the Bosch etch process. During the LPCVD deposition step, oxide is expected to be thinner a...
Conference Paper
Full-text available
In order to examine the effects of sidewall scallops on through-silicon via (TSV) performance, the etch processes required to generate several TSV geometries are simulated and the resulting structures are imported into a finite element tool for electrical parameter extraction and reliability analysis.The electrical models, which were confirmed usin...
Article
In order for the gas sensor devices to enjoy the miniaturization trend that has consumed much of the electronic device industry, major research in the field is undertaken. The bulky sensor devices of previous generations can not easily be incorporated into a CMOS processing sequence, because of their bulky nature and potential higher cost of produc...
Article
Metal oxide materials such as tin oxide (SnO2) show powerful gas sensing capabilities. Recently, the deposition of a thin tin oxide film at the backend of a CMOS processing sequence has enabled the manufacture of modern gas sensors. Among several potential deposition methods for SnO2, spray pyrolysis deposition has proven itself to be relatively ea...
Article
Integration of thin tin oxide film formation into CMOS technology is a fundamental step to realize sensitive smart gas sensor devices. Spray pyrolysis is a deposition technique which has the potential to fulfil this requirement. A model for spray pyrolysis deposition is developed and implemented within a Level Set framework. Two models for the topo...
Conference Paper
The formation of a TSV for three-dimensional interconnects using SF6/O2 plasma is explored. Adjusting the O2 gas concentration to 45 sccm, while the SF6 concentration is set to 35 sccm, produced the best combination of chemical and physical etching to provide sidewall angles of 88°. Three TSV aspect ratios are etched (5/58, 10/100, and 20/100 μm) a...
Article
Full-text available
The ability to deposit a thin tin oxide film on CMOS chip dies has enabled the manufacture of modern smart gas sensor devices. Spray pyrolysis deposition is used to grow the required thin films, as it is an affordable deposition technique which can be integrated into a standard CMOS processing sequence. A model for spray pyrolysis deposition is dev...
Article
During the last decades it has been shown that the Atomic Force Microscope (AFM) can be used in non-contact mode as an efficient lithographic technique capable of manufacturing nanometer sized devices on the surface of a silicon wafer. The AFM nanooxidation approach is based on generating a potential difference between a cantilever needle tip and a...
Conference Paper
The deposition of a thin tin oxide film allows for the manufacture of modern gas sensors to replace the bulky sensors of previous generations. Spray pyrolysis deposition is used to grow the required sensing thin films, as it can be seamlessly integrated into a standard CMOS processing sequence. A model for spray pyrolysis deposition is developed an...
Article
Full-text available
The deposition of a thin tin oxide film allows for the manufacture of modern gas sensors. Spray pyrolysis deposition is used to grow the required thin films, as it can be seamlessly integrated into a standard CMOS processing sequence. A model for spray pyrolysis deposition is developed and implemented within the Level Set framework. Two models for...
Article
Full-text available
Atomic force microscopy (AFM) can be used as a lithographic technique capable of manufacturing nanometer-sized devices. A simulator for AFM, implemented in a Level Set environment, is presented. The simulator uses empirical models to deduce the shape of a desired nanodot based on the applied voltage, pulse time, and ambient humidity. The shape of a...
Conference Paper
The through Silicon Via (TSV) is a lead topic in interconnects and 3D integration research, mainly due to numerous anticipated advantages. However, several challenges must still be overcome if large scale production is to be achieved. In this work, we have studied the effects of Bosch scallops concerning mechanical reliability for a specific TSV te...
Article
During the last decades it has been shown that non-contact AFM can be used as an efficient lithographic technique capable of manufacturing nanometer sized devices on the surface of a silicon wafer. The AFM nanooxidation approach is based on generating a potential difference between a cantilever needle tip and a silicon wafer. A water meniscus build...
Chapter
Full-text available
We present an approach for implementing open source simulation tools in the field of semiconductor device and process simulation based on our execution framework ViennaX. We apply a modular concept, where functionality is separated into plugins, which in turn can be combined to form full-fledged simulation tools by utilizing ViennaX's task graph ap...
Conference Paper
Nanolithography using Non-Contact Mode Atomic Force Microscopy (NCM-AFM) is a promising method for the manufacture of nanometer sized devices. Compact models which suggest nanopatterned oxide dots with Gaussian or Lorentzian profiles are implemented in a Monte Carlo simulator in a level set environment. An alternative to compact models is explored...
Conference Paper
Full-text available
Models for the local anodic oxidation of silicon using scanning tunneling microscopy and non-contact atomic force microscopy are implemented in a generic process simulator, using the Level Set method. The advantage of the presented implementation is the ease with which further processing steps can be simulated in the same environment. An empirical...
Article
Full-text available
An efficient parallelization strategy is presented for a Hier-archical Run Length Encoded (HRLE) data structure, im-plemented for the Sparse Field Level Set method. In or-der to achieve high parallel efficiency, computational work must be distributed evenly over all available CPU threads. Since the Level Set surface must be allowed to deform and ev...
Conference Paper
Full-text available
Three-dimensional simulations of focused ion beam milling, which use the level set method for surface evolution, are presented for the first time. This approach allows the inherent description of topological changes. The surface rates are calculated using Monte Carlo ray tracing in order to incorporate shadowing as well as redeposition. Paralleliza...
Article
A 10-bit successive approximation analog-to-digital converter (ADC), with offset correction circuitry and a tunable series attenuation capacitor is presented for implantable biosensor applications. The ADC is designed in a standard 0.13 ¿m CMOS process technology and can operate with supply voltages down to 0.6 V. The ADC uses MOSFETs that are desi...

Network

Cited By