Jung-Hwan Lee

Jung-Hwan Lee
SK Hynix · Department of

Doctor of Engineering

About

128
Publications
9,486
Reads
How we measure 'reads'
A 'read' is counted each time someone views a publication summary (such as the title, abstract, and list of authors), clicks on a figure, or views or downloads the full-text. Learn more
320
Citations
Introduction
Jung-Hwan Lee currently works at the SK Hynix. Their current project is 'CMP & post CMP cleaning'.
Additional affiliations
March 2010 - February 2019
Hanyang University
Position
  • PhD
November 2018 - present
SK Hynix
Position
  • Engineer
December 2011 - January 2015
Nanobiosys Inc.
Position
  • Manager
Education
March 2010 - February 2019
Hanyang University
Field of study
  • Nano Engineering
March 2008 - February 2010
Hanyang University
Field of study
  • Nano Engineering
March 2003 - August 2007
Hanyang University ERICA
Field of study
  • Applied Physics

Publications

Publications (128)
Article
In post-CMP (chemical mechanical polishing) processing, the use of poly vinyl acetal (PVA) brushes to clean the wafer surface is one of the most effective and prominent techniques applied for the removal of CMP contaminants. Recently, organic contaminants induced in different types of PVA brushes during brush manufacturing have been drawing substan...
Article
Full-text available
Chemical mechanical polishing (CMP) is one of the important steps that involves during fabrication of semiconductor devices. This research highlights the importance of tungsten (W) polishing slurries consisting of a novel nonionic, heat-activated FeSi nanocatalyst on the performance of W chemical mechanical polishing. The results obtained from the...
Article
The presence of particle contamination on a wafer surface is one of the most critical issues performed by chemical mechanical planarization (CMP) and post-CMP cleaning processes. Among the various sources of contaminations, an incoming polyvinyl acetal (PVA) brush generates fine impurities in the form of particulate residue during post-CMP cleaning...
Article
Full-text available
Polyvinyl acetal (PVA) brush cleaning is one of the most important processes in the post chemical mechanical planarization (CMP)cleaning process. However, PVA brush could be severely contaminated due to strong direct contact with a large amount of abrasiveparticles during the long-time post CMP cleaning, and the particles on the brush can be easily...
Article
Generation of organic defects is one of the most critical issues that encountered during post-CMP cleaning process in sub 10 nm semiconductor device fabrication. Among the many sources for producing organic defects, we found that an incoming polyvinyl acetal (PVA) brush itself acts as a vital contaminant source and is generating the organic defects...
Article
Pad conditioners are important consumables for semiconductor chemical mechanical planarization processes. Recently, a new concept has been developed to improve the performance and lifetime of a pad conditioner by depositing diamond film on a uniformly patterned substrate. In this study, we investigated the pad conditioner lifetime while varying the...
Article
Full-text available
In this study, the development of post-chemical mechanical polishing (CMP) protocols for cleaning abrasive nanoparticles from In0.53Ga0.47As surfaces was systematically analyzed. Abrasive silica nanoparticles (130 and 289 nm) were intentionally deposited onto InGaAs surfaces. Various concentration ratios of chemical etchants such as HCl and H2O2 we...
Presentation
Tungsten is used in the fabrication of plugs in the metallization of multi-level 3D NAND and DRAM devices. The application of W CMP in the MOL (middle of line) contact and RMG (replacement metal gate) enabled to make semiconductor devices further smaller. Particle contamination and scratch formation are the severe problems during W CMP process whic...
Presentation
In chemical mechanical planarization (CMP) processes, the pad conditioner is an important consumable. The conventional pad conditioners have diamond grit that is not uniform in size and height. On the other hand, CVD diamond coated pad conditioner is known to show better chemical resistance and pad surface profile than the standard pad conditioner....
Presentation
In this paper, we evaluated four different types of conditioning processes to remove the particles from the brush for increasing the cleaning efficiency during post CMP process. The evaluation was done comparatively using FE-SEM. The physical scrubbing method showed higher cleaning efficiency than chemical dipping method, however some abrasive...
Presentation
Tungsten has been used for metal interconnection in semiconductor manufacturing and it is still a widely accepted metal for contact formation at advanced node device fabrication [1, 2]. The global planarization is achieved by CMP process. Slurry formulation plays a key role in achieving the desired RR, non-uniformity along with lower defectivity. F...
Presentation
In this research work, the Cobalt (Co) surface passivation was evaluated by using two different corrosion inhibitors: benzotriazole (BTA) and melamine. A systematic analysis was performed using static etch rate, contact angle measurement and electrochemical analysis at pH 7. The possible mechanisms for Co passivation were hypothesized. Electrochemi...
Presentation
This research highlights the comparative studies of two different slurries A and B comprised of a novel non-ionic, heat activated FeSi nanocatalyst and a conventional Fe(NO 3 ) 3 catalyst, respectively, on the performance of tungsten (W) chemical mechanical polishing. The results obtained from the polishing data showed higher W removal rate wit...
Presentation
As the Chemical Mechanical Planarization(CMP) process becomes a major process in the semiconductor industry, and also post CMP cleaning is one of important process. Polyvinyl Acetal(PVA) brush cleaning is the most effective process in post-CMP cleaning, providing chemical effects and strong physical force due to direct contact between PVA and conta...
Presentation
In chemical mechanical planarization (CMP) processes, pad conditioners are an important consumable for maintaining the texture and properties of the polishing pad. Conventional pad conditioners have unstable pad wear rate (PWR) because the non-uniform height and size of the diamond grits are randomly arranged. Also, when using acidic slurry, diamon...
Presentation
Since Chemical Mechanical Planarization (CMP) process was introduced to the semiconductor industry, post-CMP cleaning process became one of the primary challenges in the semiconductor manufacturing process. Polyvinyl acetal (PVA) brush cleaning has been used for post-CMP cleaning process with various chemical cleaning solutions as the most effecti...
Presentation
Group III-V semiconductor materials are believed to be the most promising candidate for next-generation complementary metal-oxide semiconductor (CMOS) devices due to their higher bulk electron mobility than silicon. Among the III–V elements, InGaAs has a superior electronic property with less effective mass and higher electron concentration, better...
Patent
According to one embodiment of the present invention, a sealing device of a microfluidic chip and an operation method therefor are provided. The device can comprise: a support part in which the microfluidic chip is arranged; and a heat sealing part applying heat to an inlet part and an outlet part of the microfluidic chip so as to seal the inlet...
Article
In this study, a sapphire lapping plate was fabricated using a unique blend of copper (Cu) metal particles and resin to overcome the drawbacks of traditional iron (Fe) and Cu plate lapping. An optimum Cu-resin ratio was found by evaluating the MRR, surface roughness of the lapped sapphire and the hardness of the Cu-resin plate. Cu-resin plates with...
Conference Paper
CVD diamond coated pad conditioner is known to show better chemical resistance and pad surface profile than the standard pad conditioner. This work reports the preparation of WC-Co alloy pellet as a body material for CVD pad conditioner. WC-Co pellets were made with different sizes of WC powders as a function of Co concentration. The pad conditione...
Conference Paper
Recently Cu flakes became major defect after Cu CMP process because it generates ring scratch when they adhere to the Cu wafer surface. This paper reports the mechanism of Cu flake formation based on well-known Cu CMP slurry and cleaning chemistry such as surfactant, pH adjuster and corrosion inhibitor. During the Cu CMP and cleaning process, the C...
Conference Paper
In this study, the competitive reaction kinetics between H2O2 and benzotriazole (BTA) on PVD Cu were investigated using atomic force microscope (AFM), microfluidic system, and electrochemical techniques (open circuit potential (OCP) and potentiodynamic polarization). The static etch rate (SER) of Cu was increased with the addition of 5wt% H2O2 in...
Presentation
Since Chemical Mechanical Planarization (CMP) process was introduced to the semiconductor industry, post-CMP cleaning process became one of the primary challenges in the semiconductor manufacturing process. Polyvinyl alcohol (PVA) brush cleaning has been used for post-CMP cleaning process with various chemical cleaning solutions as the most effect...
Presentation
Due to the introduction of chemical mechanical planarization (CMP) process to semiconductor industry, copper has been widely used as an interconnect material instead of aluminium, because copper has better electrical properties than aluminum such as low resistivity and high electro-migration resistivity. However, copper CMP process leads to various...
Patent
https://patents.google.com/patent/RU2618859C2/ru?oq=RU2618859C2 Группа изобретений относится к области биохимии. Предложен нагревательный блок, чип и устройство для полимеразной цепной реакции (ПЦР). Нагревательный блок выполнен в форме подложки и содержит нагреватели. Каждый нагреватель имеет компенсирующий контур для регулирования сопротивления....
Patent
마스크 상에 배치되고, 실리콘 카바이드(SiC)를 포함하는 제1 보호층(first protective layer), 상기 제1 보호층 상의 지르코늄(Zr)을 포함하는 코어층(core layer), 상기 코어층 상의 실리콘 나이트라이드(Si 3 N 4 )를 포함하는 제2 보호층(second protective layer), 및 상기 제2 보호층 상의 실리콘 카바이드(SiC)를 포함하는 제3 보호층(third protective layer)을 포함하는 극자외선 리소그래피용 펠리클 구조체가 제공될 수 있다.
Patent
마스크 상에 배치되고, 실리콘 카바이드(SiC)를 포함하는 제1 보호층(first protective layer), 상기 제1 보호 층 상의 지르코늄(Zr)을 포함하는 코어층(core layer), 상기 코어층 상의 실리콘 나이트라이드(Si 3 N 4 )를 포함하 는 제2 보호층(second protective layer), 및 상기 제2 보호층 상의 실리콘 카바이드(SiC)를 포함하는 제3 보호 층(third protective layer)을 포함하는 극자외선 리소그래피용 펠리클 구조체가 제공될 수 있다.
Patent
노광 방법이 제공된다. 상기 노광 방법은, 노광 장치 내에서, 마스크 및 상기 마스크 덮는 펠리클을 이용하여 노광 공정을 수행하는 단계, 상기 노광 공정 중에 발생된 열에 의해, 상기 마스크 및 상기 펠리클이 변형되는 경우, 상기 마스크 및 상기 펠리클의 변형 정도를 측정하는 단계, 및 상기 마스크 및 상기 펠리클의 변형 정도에 따라서, 상기 마스크 및 상기 펠리클을 교정하는 단계를 포함한다.
Patent
경사패턴을 갖는 화학 기계적 평탄화 패드 컨디셔너가 제공된다. 상기 경사패턴을 갖는 화학 기계적 평탄화 패드 컨디셔너는, 바디부, 및 상기 바디부의 일면에 결합되고 복수의 돌기부를 갖는 펠렛 경사 패턴을 포함할 수 있다.
Presentation
In this study, the effect of slurry agglomeration and influence of additives on the slurry stability were investigated in a slurry distribution system using diaphragm pump. Circulated ceria slurry was evaluated by measuring zeta-potential, particle mean size, specific gravity, viscosity, pH, total percent solids and concentration of large particles...
Presentation
The post CMP (Chemical Mechanical Planarization) cleaning of wafers in the semiconductor industry is necessary to remove the residual contaminants such as organic residues, abrasive particles, scratches and metallic contaminants on the Cu surface. Ever since introduction of brush scrubbing of polished wafers in post CMP cleaning, very limited impro...
Presentation
In this study, the copper (Cu) composite plate is made with a unique blend of powdered metal and resin to overcome the drawbacks of traditional Fe and Cu metal plates for lapping. The composite plate offers several technological advantages such as reduced mechanical damage to brittle crystalline materials, superior surface finishing capabilities, g...
Presentation
In this paper, poly vinyl alcohol (PVA) brush has been used with and without contacting method on the silicon wafer surface during post CMP process using various cleaning solutions. The physical, morphology and mechanical properties of the brushes were analyzed as a function of cleaning method in various cleaning solutions. The results indicated th...
Patent
The present invention relates to a PCR heating block having heaters repeatedly arranged thereon is capable of preventing the radial thermal distribution generated from the individual heaters and the non-uniform heat superposition between the adjacent heaters to improve the PCR yield and further capable of requiring no separate temperature controll...
Presentation
보통 유리는 깨지기 쉽다는 결점이 있지만 빛의 투과성이 좋고 높은 내열성 및 내열충격성, 내화학성, 절연성 등 우수한 성질을 많이 가지고 있다. 그래서 단창, 거울에 적용되던 유리가 이제는 건축용, 전자제품 등 다방면에서의 쓰임새와 용도가 확대되면서 가공기술 또한 빠른 속도로 발전하고 있다. 유리가공에 있어서 가장 기본적이고 중요한 가공은 최초의 생산된 판유리를 절단, 연삭, 연마의 과정을 거쳐 제품으로 적용 될 수 있게 만드는 과정이다. 이 중에서 연마는 고체의 표면을 다른 고체의 모서리나 표면으로 문질러 매끈하게 하는 것이며 연마재를 사용해 효율을 높일 수 있다. 하지만 여러 Glass 기판의 조성 및 물리적 특성이...
Presentation
사파이어 기판은 뛰어난 기계적 강도, 투명도, 내열성과 같은 우수한 성질을 가지고 있으며, 각종 전기전자재료 및 광학분야에서 사용되는 정밀화학 핵심소재 이다. 사파이어 기판 제조 공정을 위한 DMP (Diaomd Mechanical Polishing) 공정은 기판의 평탄도와 두께를 조절하여 품질을 결정하는 매우 중요한 공정이다. 현재 공정은 높은 연마율을 갖는 구리(Cu) 정반으로 1차 연마 후 높은 표면품질을 갖는 주석(Sn) 정반으로 2차 연마를 하는 2단계 공정이 수행되고 있다. 최근 고가의 금속정반을 대체하고 공정단계를 감소 시키기 위하여 저가의 수지가 혼합된 금속-수지정반의 연구 개발이 요구 되고 있다. 본 연...
Patent
According to one embodiment of the present invention, a sealing device of a microfluidic chip and an operation method therefore are provided. The device can comprise: a support part in which the microfluidic chip is arranged; and a heat sealing part applying heat to an inlet part and an outlet part of the micro-fluidic chip so as to seal the inlet...
Patent
펠리클이 제공된다. 상기 펠리클은, 제1 면 및 상기 제1 면에 대향하는 제2 면을 갖는 멤브레인(membrane), 상기 멤브레인의 제1 면 상의 제1 펠리클 프레임(first pellicle frame), 및 상기 멤브레인의 상기 제2 면 상의 제2 펠리클 프레임(second pellicle frame)을 포함할 수 있다.
Patent
Provided is a pellicle. The pellicle comprises: a membrane having a first surface and a second surface which faces the first surface; a first pellicle frame on the first surface of the membrane; and a second pellicle frame on the second surface of the membrane.
Article
The metallization scheme based on 3 metal layers was introduced in 3Xnm NAND Flash Single Level Cell (SLC) to reduce the chip size. However, the reliability issue related to hydrogen was caused by the additional PETEOS film deposition, which acts as the source of radical hydrogen. The passivated hydrogen at the Si/SiO2 interface can create the trap...
Patent
本発明の一実施形態は、パターンヒーターが繰り返し配 置されたポリメラーゼ連鎖反応(PCR)熱ブロック及 びこれを備えるPCR装置に関するものであり、これに よれば、ヒーターが繰り返し配置された熱ブロックにお ける個別のヒーターから発せられる放射状の熱の分布に 伴う隣り合うヒーター間の不均一な熱の重なり合いを防 いでPCRの歩留まりを顕著に改善することができ、別 途の温度調節手段が求められないので装置の小型化及び 集積化にかなり寄与することができる。さらに、ヒータ ーユニットが繰り返し配置された熱ブロック及び板状の PCR部を用いて多数の核酸サンプルを一括して且つ速 やかに増幅することができ、連続的な光学信号又は電気 化学的な信号を測定して核酸増幅過程をリアルタイムに て確認することができる。
Patent
The present invention relates to a PCR heating block having heaters repeatedly arranged thereon is capable of preventing the radial thermal distribution generated from the individual heaters and the non-uniform heat superposition between the adjacent heaters to improve the PCR yield and further capable of requiring no separate temperature controlli...
Presentation
사파이어 기판은 투명도, 낮은 열팽창 계수, 높은 강도와 같은 우수한 성질덕분에 여러 광학분야에서 중요한 재료로 사용되고 있다. 사파이어 기판의 공정은 유리의 성질을 결정하게 된다. 그 중에서도 유리 기판 Lapping 공정은 기판의 평탄도와 두께를 조절하기 위한 중요한 과정이다. 현재까지 사파이어 기판의 Lapping 공정은 금속정반을 이용하여 연마해왔다. 금속-수지정반이 개발됨에 따라 사파이어 기판의 표면품질은 향상된다고 알려졌다. 본 연구에서는 금속-수지정반의 함유량에 따라 Lapping 공정을 진행하고, 평가하여 금속-수지정반에서의 사파이어 Lapping 공정에서의 연마 메커니즘을 확인해보고자 한다. 자체 제작한...
Presentation
반도체 배선 재료로 우수한 특성을 지니는 Cu는 CMP 공정을 통하여 배선이 가능하게 되면서 Al 대신하여 배선재료로써 널리 사용되고 있다. 그러나 슬러리 입자, 잔여 유기물, 스크래치와 부식과 같은 다양한 결함이 CMP 공정으로 인해 유발되고, 이 중 부식은 반도체 생산 수율을 저하시키는 중요한 요인이다. 이러한 문제점을 해결하기 위해 일반적으로 부식 방지제의 역활을 하는 BTA를 CMP 슬러리에 첨가하게 되지만, BTA는 Cu와 결합하여 표면에 매우 강한 Complex를 형성하고 후속 세정공정을 어렵게 만드는 요인이 된다. 따라서 Cu-BTA compelx를 Post Cu-CMP cleaning 공정을 통해 반드시...
Article
In this study, we investigated the relationship between particle removal efficiency (PRE), surface etch rate and removal of metal contaminant with various concentrations of SC1 cleaning solution. To identify the relationship between etch rate and the formation of defect, etch depth was measured for various compositions of SC-1 solution by using ato...
Conference Paper
In this study, the performance of sapphire lapping process using copper-resin plates in DMP (Diamond Mechanical Polishing) process was evaluated. Material removal rate (MRR) of sapphire increased linearly with the increase in rotation speed, pressure and lapping process time. MRR and roughness of sapphire wafers were correlated to the hardness of...
Presentation
In this study, the performance of sapphire lapping process using copper-resin plates in DMP (Diamond Mechanical Polishing) process was evaluated. Material removal rate (MRR) of sapphire increased linearly with the increase in rotation speed, pressure and lapping process time. MRR and roughness of sapphire wafers were correlated to the hardness of l...
Article
The RCA cleaning process is a standard wet cleaning process for removal of contaminants from silicon wafer surface. As well known, the SC1 cleaning solution which consists of a mixture of NH 4 OH (ammonium hydroxide), H 2 O 2 (hydrogen peroxide) and H 2 O is an efficient particle removal. Cleaning mechanism of SC1 cleaning solution on particles rem...
Patent
본 발명의 실시예에 따라, 미세 패턴을 갖는 장신구 및 이의 제조 방법이 제공된다. 상기 장신구는 적어도 하나의 외면을 갖는 장신구용 본체; 및 상기 외면 상에 소정의 형상으로 형성되는 미세 패턴을 포함할 수 있다.
Patent
펠리클이 제공된다. 상기 펠리클은, 제1 면 및 상기 제1 면에 대향하는 제2 면을 갖는 멤브레인(membrane), 상기 멤브레인의 상기 제1 면 상의 펠리클 프레임 (pellicle frame), 및 상기 멤브레인으로부터 열을 흡수하여 외부로 방출하고, 상기 멤브레인의 상기 제2 면으로부터 위로(upwardly) 연장하는 복수의 핀(fin)들을 포함하고, 상기 멤브레인의 상기 제2 면의 가장자리 상에 배치된, 열 방출층(heat emission layer)을 포함한다.
Patent
본 발명의 일 실시예에 따라, 미세유체 칩의 실링 장치 및 그 동작 방법이 제공된다. 상기 장치는 상기 미세유체 칩이 배치되는 지지부; 및 상기 미세유체 칩의 유입부 및 유출부에 열을 인가하여 상기 유입부 및 상기 유출부를 밀봉하는 가열 실링부를 포함할 수 있다.
Patent
본 발명은 대면적 기판에서의 나노웰 어레이 바이오센서 및 그 제조방법에 관한 것으로, 더욱 상세하게는 신규한 방법으로 나노 크기의 웰 어레이 구조를 재현성 있게 구현하여 생체물질의 검출 감도, 선택성 및 신뢰성을 개선할 수 있는 대면적 기판에서의 나노웰 어레이 바이오센서 및 그 제조방법에 관한 것이다. 본 발명에 따르면 좁은 영역에 고집적된 나노 크기의 웰 어레이 구조를 균일하고 재현성 있게 구현할 수 있다. 이에 의하여 다양한 효소, 단백질, DNA 등 생체분자 및 생체물질의 검출 감도(sensitivity)와 선택성(selectivity), 신뢰성(reliability)이 크게 향상된 바이오센서의 제조가 가능하다....
Patent
The present invention provides a minute fluid cell chip, a method for culturing a cell using the same, and an apparatus for analyzing a cell image using the same. The minute fluid cell chip includes: a plurality of inlet parts for injecting a plurality of fluids respectively; a concentration gradient minute channel connected to the plurality of inl...
Patent
An embodiment of the present invention relates to a PCR thermal block with pattern heaters repeatedly arranged and a PCR apparatus including the same, wherein the thermal block with heaters repeatedly arranged prevents radial thermal distribution generated from each heater and irregular thermal overlapping caused thereby between adjacent heaters so...
Patent
본 발명의 일 실시예에 따라, 멀티플렉스 PCR 장치가 개시된다. 상기 멀티플렉스 PCR 장치는 서로 상이한 다수의 핵산 분자를 동시에 탐지하는 멀티플렉스 PCR 칩을 포함하고, 상기 멀티플렉스 PCR 칩에는 상기 핵산 분자의 서로 상이한 증폭된 서열과 특이적으로 혼성화되는 다수의 혼성화 반응용 프로브가 서로 이격하여 고착되는 것을 특징으로 할 수 있다.
Patent
본 발명의 일 실시예는 실시간 PCR 장치 및 방법에 관한 것으로서, 이에 따르면, 듀얼(dual) 열 블록 및 판 형상의 PCR 칩을 통해 다수의 샘플을 동시에 초고속으로 분석할 수 있을 뿐만 아니라, 핵산 증폭 과정에서 발생하는 연속적인 전기화학적 신호를 쉽게 검출할 수 있는 단순한 모듈 구현을 통해 제품의 극-소형화 및 휴대화에 상당히 기여할 수 있다.
Patent
본 발명의 일 실시예는 실시간 PCR 장치 및 방법에 관한 것으로서, 이에 따르면, 듀얼(dual) 열 블록 및 판 형상의 PCR 칩을 통해 다수의 샘플을 동시에 초고속으로 분석할 수 있을 뿐만 아니라, 핵산 증폭 과정에서 발생하는 연속적인 전기화학적 신호를 쉽게 검출할 수 있는 단순한 모듈 구현을 통해 제품의 극-소형화 및 휴대화에 상당 히 기여할 수 있다.
Patent
A cell and solution condition screening system is disclosed. The cell and solution condition screening system is characterized by comprising: a stage module having a concentration gradient chip for cell culturing arranged on the upper end, the stage module adjusting the position of the concentration gradient chip in at least one direction of horizo...
Patent
An embodiment of the present invention relates to a PCR thermal block with pattern heaters repeatedly arranged and a PCR apparatus including the same, wherein the thermal block with heaters repeatedly arranged prevents radial thermal distribution generated from each heater and irregular thermal overlapping caused thereby between adjacent heaters so...
Presentation
Amine group is one of the common functional group which is widely used in the field of biotechnology and biomedical engineering. Conventional method for the modification of the surface with amine group is the liquid-SAM(Self-Assembled Monolayer). But this method has some limitation for the mass production because it requires various precursors and...
Patent
나노렌즈어레이몰드의 제조방법 및 그 방법에 의해 제조된 몰드를 이용한 나노렌즈어레이의 제조방법이 개시된다. 본 발명의 나노렌즈어레이몰드의 제조방법은, 실리콘 기판을 산화시켜 표면에 1차 실리콘 산화막을 형성하고, 1차 실리콘 산화막 상에 반사 방지층과 감광층을 차례로 형성하는 단계; 감광층에 규칙적인 배열을 갖는 미세패턴을 포토리소그래피에 의해 형성하고,형성된 미세패턴에 따라 노출된 반사 방지층을 제거하는 단계;감광층을 마스크로 건식 식각하여 1차 실리콘 산화막을 선택적으로 제거하는 단계; 및 선택적으로 제거된 1차 실리콘 산화막을 마스크로 실리콘 기판을 건식 식각하여 미세패턴을 형성하는 단계; 1차 실리콘 산화막을 제...
Presentation
Amine group은 의공학, 생명공학 등의 분야에서 가장 널리 이용되는 작용기(functional group) 중 하나이다. 이 amine group은 DNA, 단백질 등의 생체분자(biomolecule)를 고정화 하는 역활로 주로 이용된다. 또한 바이오센서, 항원 항체 반응, 세포군체형성(cell colonization) 등의 다양한 분야에서 활발히 적용되고 있다. 이러한 amine group을 형성하기 위한 기존의 기술로는 Liquid SAM(self-assembled monolayer)을 이용한 공정이 대표적이다. 하지만 이 기술은 기판의 재질이나 상태에 따라 사용하는 precursor가 다를 뿐만 아니라, 공...
Article
We have reported that nanowell array (NWA) can enhance electrochemical detection of molecular binding events by controlling the binding sites of the captured molecules. Using NWA biosensor based amperometric analysis, we have detected biological macromolecules such as DNA, protein or aptamers at low concentrations. In this research, we developed an...
Patent
실리콘 나노팁 어레이의 제조방법 및 그 방법에 따라 제조된 실리콘 나노팁 어레이가 개시된다. 본 발명의 실리콘 나노팁 어레이의 제조방법은, 실리콘 기판을 산화시켜 표면에 실리콘 산화막을 형성하고, 실리콘 산화막 상에 반사 방지층과 감광층을 차례로 형성하는 단계; 감광층에 규칙적인 배열을 갖는 미세패턴을 스텝퍼 노광장비에 의한 포토리소그래피로 형성하되, 미세패턴은 레티클에 형성된 최초의 마스크 패턴에 부합하도록 형성하며, 형성된 미세패턴에 따라 노출된 반사 방지층을 제거하는 단계; 미세패턴이 형성된 감광층을 마스크로 건식 식각하여 실리콘 산화막을 선택적으로 제거하는 단계; 선택적으로 제거된 실리콘 산화막을 마스크로 실리콘...
Patent
One embodiment of the present invention relates to a PCR chip comprising a thermal block in which heater units are repeatedly arranged for detecting electrochemical signals, a PCR device comprising same, and a real-time PCR method using the PCR device. According to the present invention, a plurality of samples can be analyzed simultaneously at an u...
Patent
One embodiment of the present invention relates to a PCR chip comprising a thermal block in which heater units are repeatedly arranged for detecting electrochemical signals, a PCR device comprising same, and a real-time PCR method using the PCR device. According to the present invention, a plurality of samples can be analyzed simultaneously at an u...
Patent
One embodiment of the present invention relates to a real-time PCR device for detecting electrochemical signals, the device comprising a heating block in which heater units are repeatedly disposed, and relates to a real-time PCR method using same, whereby not only is it possible to perform simultaneous ultra-high-speed analysis of a plurality of sa...
Patent
An embodiment of the present invention relates to a real-time polymerase chain reaction (PCR) apparatus and method. According to the apparatus and method, through a PCR chip having a dual block and plate shape, not only can a plurality of samples be analyzed at the same time at an ultrahigh speed, but a simple module capable of easily detecting seq...
Article
The rapid identification and verification of single nucleotide polymorphisms (SNPs) were demonstrated using a well array sensor containing anti-biofouling titanium (Ti). Probe single-stranded DNA (ssDNA) was immobilized inside a titanium-well array on amine-modified glass surfaces with antibiofouling behavior via a streptavidin-biotin interaction....
Patent
세포 및 용액조건 스크리닝 시스템이 개시된다. 상기 세포 및 용액조건 스크리닝 시스템은, 세포 배양을 위한 농도 구배 칩이 상단에 배치되고 가로 방향 및 세로 방향 중 적어도 하나의 방향으로 상기 농도 구배 칩의 위치를 조정하는 스테이지 모듈, 및 상기 농도 구배 칩에 배양된 세포 및 상기 농도 구배 칩의 배양조건을 측정하는 광학 모듈을 포함하는 것을 특징으로 한다.
Patent
본 발명의 실시예에 따라, 미세유체 세포칩, 이를 이용한 세포 배양 방법 및 세포 영상 분석 장치가 제공된다. 상기 미세유체 세포칩은 복수의 유체가 각각 주입되는 복수의 유입부; 상기 복수의 유입부에 연결되고, 상기 유체의 농도가 연속 희석되는 농도구배 미세유체 채널; 상기 농도구배 미세유체 채널에 연결되고, 세포의 배양이 이루어지는 세포 배양 챔버; 상기 세포 배양 챔버 상에 형성되고, 상기 세포가 주입되는 세포 주입부; 및 상기 세포 배양 챔버에 연결되는 배출부를 포함할 수 있다. 본 발명에 따른 미세유체 세포칩은 유입부 및 배출부 외에 세포 주입부를 별개로 형성함으로써 세포의 주입 및 배양 능력을 향상시킬 수 있다...
Patent
An embodiment of the present invention relates to a real-time PCR device and method, whereby not only is it possible to perform simultaneous ultra-high-speed analysis of plurality of samples via a dual heat block and plate-shaped PCR chip but it is also possible to significanltly contribute to the microminiaturization and increased portability of p...
Article
The availability of durable molds with nanometer-scale features is a bottleneck for nanoimprint lithography and nano-injection molding. Silicon (Si) nanomolds are typically fabricated by electron beam lithography, which is a very expensive technology, and furthermore, the lifetime of the Si mold is also short. This paper presents a very cost effect...
Patent
본 발명의 일 실시예는 패턴 히터가 반복 배치된 PCR 열 블록 및 이를 포함하는 PCR 장치에 관한 것으로서, 이에 따르면 히터가 반복 배치된 열 블록에서 개별 히터로부터 발생하는 방사형 열 분포 및 이에 따른 인접 히터간 불균일한 열 중첩을 방지하여 PCR 수율을 상당히 개선할 수 있고, 별도의 온도 조절 수단이 요구되지 아니하므로 장치의 소형화 및 집적화에 상당히 기여할 수 있으며 더 나아가, 히터 유닛이 반복 배치된 열 블록 및 판 형상의 PCR 반응부를 이용하여 다수의 핵산 샘플을 동시에 그리고 신속하게 증폭할 수 있고, 연속적인 광학 신호 또는 전기화학적 신호를 측정함으로써 핵산 증폭 과정을 실시간으로 확인할...
Article
Different shapes of silicon (Si) nanotip arrays using reactive ion etching with various mask patterns were fabricated, and the surface profile, surface roughness and quantitative etch characteristics of the Si nanotip were characterised. It was found that the geometry as well as etch characteristics of Si nanotip arrays could be modified by changin...
Article
Full-text available
A microarray-based immunoassay requires only small volume of the sample in each array spot, which leads to faster completion of the immunoreactions than with an ELISA (enzyme-linked immunosorbent assay) [. However, this method still has some technical limitations such as poor signal sensitivity, poor detection limit, large sample consumption, high...
Presentation
Recently, biosensors of nanoscale dimension have attracted wide attention for sensitive, multi-targeting and labeling-free biomolecule detection [1]. Especially, the use of nanowell (NW) array is so efficient for sensitive and quantitative analysis because it efficiently minimizes the unwanted, non-specific binding or aggregation of biomaterials [2...
Patent
본 발명의 일 실시예는 히터 유닛이 반복 배치된 열 블록을 포함하는 전기화학적 신호를 검출하기 위한 PCR 칩, 이를 포함하는 실시간 PCR 장치, 및 이를 이용한 실시간 PCR 방법에 관한 것으로서, 이에 따르면 히터 유닛이 반복 배치된 열 블록 및 판 형상의 PCR 칩을 통해 다수의 샘플을 동시에 초고속으로 분석할 수 있을 뿐만 아니라, 핵산 증폭 과정에서 발생하는 연속적인 전기화학적 신호를 쉽게 검출할 수 있는 단순한 모듈 구현을 통해 제품의 극-소형화 및 휴대화에 상당히 기여할 수 있다.
Patent
본 발명의 일 실시예는 히터 유닛이 반복 배치된 열 블록을 포함하는 전기화학적 신호를 검출하기 위한 PCR 칩, 이를 포함하는 실시간 PCR 장치, 및 이를 이용한 실시간 PCR 방법에 관한 것으로서, 이에 따르면 히터 유닛이 반복 배치된 열 블록 및 판 형상의 PCR 칩을 통해 다수의 샘플을 동시에 초고속으로 분석할 수 있을 뿐만 아니라, 핵산 증폭 과정에서 발생하는 연속적인 전기화학적 신호를 쉽게 검출할 수 있는 단순한 모듈 구현을 통해 제품의 극-소형화 및 휴대화에 상당히 기여할 수 있다.
Patent
본 발명의 일 실시예는 히터 유닛이 반복 배치된 열 블록을 포함하는 전기화학적 신호를 검출하기 위한 실시간 PCR 장치, 및 이를 이용한 실시간 PCR 방법에 관한 것으로서, 이에 따르면 히터 유닛이 반복 배치된 열 블록 및 판 형상의 PCR 칩을 통해 다수의 샘플을 동시에 초고속으로 분석할 수 있을 뿐만 아니라, 핵산 증폭 과정에서 발생하는 연속적인 전기화학적 신호를 쉽게 검출할 수 있는 단순한 모듈 구현을 통해 제품의 극-소형화 및 휴대화에 상당히 기여할 수 있다.
Patent
본 발명의 일 실시예는 히터 유닛이 반복 배치된 열 블록을 포함하는 전기화학적 신호를 검출하기 위한 실시간 PCR 장치, 및 이를 이용한 실시간 PCR 방법에 관한 것으로서, 이에 따르면 히터 유닛이 반복 배치된 열 블록 및 판 형상의 PCR 칩을 통해 다수의 샘플을 동시에 초고속으로 분석할 수 있을 뿐만 아니라, 핵산 증폭 과정에서 발 생하는 연속적인 전기화학적 신호를 쉽게 검출할 수 있는 단순한 모듈 구현을 통해 제품의 극-소형화 및 휴대화 에 상당히 기여할 수 있다.
Cover Page
Full-text available
In this work, we report on a simple process for fabricating a hydrophobic/hydrophilic hybrid-patterned microarray chip for a fast and sensitive immunoassay. Two different types of self-assembled monolayers (SAMs) were used in the fabrication of hydrophilic well patterns and hydrophobic substrates. The hydrophilic/hydrophobic hybrid SAM pattern gene...
Article
In this work, we report on a simple process for fabricating a hydrophobic/hydrophilic hybrid-patterned microarray chip for a fast and sensitive immunoassay. Two different types of self-assembled monolayers (SAMs) were used in the fabrication of hydrophilic well patterns and hydrophobic substrates. The hydrophilic/hydrophobic hybrid SAM pattern gene...
Patent
본 발명은 소수성과 친수성 표면을 이용한 생체분자 분석용 고감도 어레이칩 및 이의 제조방법에 관한 것으로, 더욱 상세하게는 기판 상에 복수개의 마이크로웰을 가지며; 상기 마이크로웰은 금속 박막 및 소수성 박막이 적층 된 다층 박막으로 형성된 고감도 어레이칩 및 이의 제조방법을 제공한다. 상기 고감도 어레이칩은 시료가 주입되는 마이크로웰 내부에 집중적으로 정렬이 가능하고, 우수한 신호 대 잡음비를 가져 신뢰성 높은 분석 결과를 얻을 수 있다.
Presentation
Availability of durable molds with nanometer-scale features acts as a bottleneck for nanoimprint lithography. Silicon (Si) molds are typically fabricated by electron beam lithography, which is very expensive technology. Previous researches have shown the fabrication of metal molds from Si molds by applying seed layer on Si mold followed by electrof...
Presentation
Recently, the interest in vertical and well-oriented Si micro/nano tip structure is dramatically increasing. In general, there are some methods for fabrication of Si tip such as KOH anisotropic etching, HNA chemical etching in wet bath and dry etching with plasma in vacuum. But the control of shape, arrangement and size is not simple and especially...
Patent
본 발명은 생체물질 고정용 기판, 이의 제조방법 및 이를 구비한 바이오칩에 에 관한 것으로, 더욱 상세하게는 플라스틱 기판; 실리콘 질화물, 실리콘 산질화물, 또는 실리콘 산화물 박막 중에서 선택된 1종의 실리콘 박막; 및 친수성기를 갖는 자기조립박막이 순차적으로 적층된 구조를 갖는 생체물질 고정용 기판, 이의 제조방법 및 이를 구비한 바이오칩에 관한 것이다. 상기 생체물질 고정용 기판은 고밀도로 생체물질의 고정이 가능하여 신호대 잡음비가 향상되고, 높은 신뢰도로 분석이 가능한 저가의 플라스틱 바이오칩의 양산에 적합하다.
Article
Fluoroimmunoassay is one of the protein detection methods in which the most critical parameter is fluorescence intensity as it determines the sensitivity of the analysis. In this study, cyclic olefin copolymer (COC) based plastic biochips of various thicknesses were fabricated from 304 SS (Stainless Steel) molds using imprinting technique. The effe...

Network

Cited By